Перейти к содержанию
    

Aldec Active-HDL 12.0.118.7745 при моделировании не видно вложенные инстансы в окошке иерархии

Решил обновить алдек на более свежую версию, все установилось штатно, лицензия подцепилась, но столкнулся в проблемой : после успешной компиляции модуля и тестбенча и запуска симуляции в окне иерархии вижу только процессы и сигналы которые на верхнем уровне тестбенча, а инстансов UUT нет, и соответственно доступа к внутренним сигналам тоже нет.. Может кто сталкивался с таким? Можно ли вылечить как-то? 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

может в новой версии -O5 по умолчанию, а в старой -dbg/novopt ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

нет, не помогает переключение оптимизации... Интересно, что если сверху в дизайн браузере переключить модуль на другой, и потом вернуть обратно, то вся структура прогружается, но тогда симуляция естественно останавливается) Беда... попробую 11ю версию наверное 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...