Перейти к содержанию
    

Как в Quartus обеспечить просмотр диаграмм assertion?

Я хочу вывести в симуляции ModelSim-Altera, запускаемой из Quartus диаграмму состояния утверждения (assertion). Intel сообщает:

Quote
Description Assertion markers are not displayed by default in ModelSim-Altera versions associated with the Quartus II software version 12.1 and later.
Resolution To enable these markers, perform one of the following options:
  • Add -msgmode both to the vsim command
  • Set the msgmode variable to both in the modelsim.ini file

Я открываю файл  "..\simulation\modelsim\modelsim.ini" и нахожу там следующий текст:

Quote
...
[msg_system]
; Change a message severity or suppress a message.
; The format is: <msg directive> = <msg number>[,<msg number>...]
; Examples:
;   note = 3009
;   warning = 3033
;   error = 3010,3016
;   fatal = 3016,3033
;   suppress = 3009,3016,3043
; The command verror <msg number> can be used to get the complete
; description of a message.

; Control transcripting of elaboration/runtime messages.
; The default is to have messages appear in the transcript and 
; recorded in the wlf file (messages that are recorded in the
; wlf file can be viewed in the MsgViewer).  The other settings
; are to send messages only to the transcript or only to the 
; wlf file.  The valid values are
;    both  {default}
;    tran  {transcript only}
;    wlf   {wlf file only}
; msgmode = both

Я попробовал раскомментировать последнюю строчку (удалить точку с запятой), но каждый раз при запуске симуляции файл modelsim.ini переписывается заново. Есть ли какая-нибудь возможно обеспечить вывод диаграмм утверждений путём 3-4-5 нажатий мышкой в какой-нибудь настройке Quartus? Или тут только переписать с нуля весь скрипт запуска симуляции и добавить туда  -msgmode both ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В 24.08.2022 в 15:05, flammmable сказал:

Я попробовал раскомментировать последнюю строчку (удалить точку с запятой), но каждый раз при запуске симуляции файл modelsim.ini переписывается заново. Есть ли какая-нибудь возможно обеспечить вывод диаграмм утверждений путём 3-4-5 нажатий мышкой в какой-нибудь настройке Quartus? Или тут только переписать с нуля весь скрипт запуска симуляции и добавить туда  -msgmode both ?

В каком именно файле modelsim.ini Вы это делаете? Подозреваю, что этот файл у Вас находится в папке проекта (судя по пути, который Вы указали).

А Вы раскомментируйте нужную строку в "корневом" файле modelsim.ini, которых находится в папке, куда установлен сам ModelSim-Altera: ..\modelsim_ae

По умолчанию этот файл имеет атрибут Read_only, так что сперва этот атрибут нужно снять, потом строку раскомментировать, а потом, для подстраховки, вернуть атрибут обратно.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 8/24/2022 at 5:05 PM, StewartLittle said:

В каком именно файле modelsim.ini Вы это делаете? Подозреваю, что этот файл у Вас находится в папке проекта (судя по пути, который Вы указали).

А Вы раскомментируйте нужную строку в "корневом" файле modelsim.ini, которых находится в папке, куда установлен сам ModelSim-Altera: ..\modelsim_ae

По умолчанию этот файл имеет атрибут Read_only, так что сперва этот атрибут нужно снять, потом строку раскомментировать, а потом, для подстраховки, вернуть атрибут обратно.

Я отодвигал этот момент как мог, желая вносить изменения в локальный modelsim.ini самого проекта. Но скрепя сердце, раскомментировал строчку

msgmode = both

в файле modelsim.ini из папки modelsim_ase.

Однако, результатов это не дало. Я набросал тестбенч с ассертом:

module testbench ();
	reg A = 0, B = 0, C = 0;
	initial begin
		forever begin
			#(500000);
			A = ~A;
		end		
	end	
	initial begin
		#(1250000);
		B = 1;
		#(1000000);
		C = 1;
		#(1000000);
		B = 0;
		#(1000000);
		C = 0;
	end
	
	property is_equal_p;
		@(posedge A) B ##0 C;	
	endproperty	
	is_equal_check: assert property (is_equal_p);
endmodule

...ожидая увидеть картинку с диаграммами и маркерами, как в руководстве "ModelSim® SE User’s Manual".

Но никаких маркеров не появилось.

 

Возможно ли в ModelSim-Altera вывести маркеры assert-ов? И если да, то как?

 

image.png

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

51 minutes ago, flammmable said:

Возможно ли в ModelSim-Altera вывести маркеры assert-ов? И если да, то как?

ЕМПН никак, вырезана расширенная верификация там.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В 25.08.2022 в 11:29, flammmable сказал:

Возможно ли в ModelSim-Altera вывести маркеры assert-ов? И если да, то как?

Нужен MdelSim SE или QuestaSim

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 8/25/2022 at 1:04 PM, StewartLittle said:

Нужен MdelSim SE или QuestaSim

Указанно ли где-нибудь, что бесплатная версия ModelSim-Altera не поддерживает assertion? Просто вот здесь (https://www.intel.com/content/www/us/en/software/programmable/quartus-prime/model-sim.html)  
 

Quote
  • ModelSim*-Intel® FPGA Edition Software

     
    • Recommended for simulating all Intel® FPGA designs (Intel® Arria® FPGA, Intel® Cyclone® FPGA, and Intel® Stratix® FPGA designs, and Intel® MAX® CPLDs)
    • 33 percent faster simulation performance than ModelSim*-Intel® FPGA starter edition software
    • No line limitations
    • Buy today for $1,995
    • Mixed language support

     

    ModelSim*-Intel® FPGA Starter Edition Software

     
    • Support for simulating small Intel® FPGA designs
    • 10,000 executable line limitations
    • Free no license required
    • Mixed language support

...вся разница заключается в количестве строк и скорости.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В 25.08.2022 в 13:20, flammmable сказал:

Указанно ли где-нибудь, что бесплатная версия ModelSim-Altera не поддерживает assertion?

В явном виде нет. Но - смотрите здесь:

https://www.intel.co.uk/content/www/uk/en/software/programmable/quartus-prime/model-sim.html#faq-answers-1-0

 

Цитата

Is the ModelSim*-Intel® FPGA edition software the same as the ModelSim* PE/DE software?

No. ModelSim*-Intel® FPGA edition software only supports our gate-level libraries. The ModelSim*-Intel® FPGA edition software includes the base features of ModelSim* PE, including behavioral simulation, HDL testbenches, and Tcl scripting. However, ModelSim* PE optional features are not supported in the ModelSim*-Intel® FPGA edition software and the simulation performance of the ModelSim*-Intel® FPGA edition software is slower than that of the ModelSim* PE/DE software.

ModelSim*-Intel® FPGA starter edition software is the same as ModelSim*-Intel® FPGA edition software except for two areas. ModelSim*-Intel® FPGA starter edition's simulation performance is lower than ModelSim*-Intel® FPGA edition's, and has a line limit of 10,000 executable lines compared to the unlimited number of lines allowed in the ModelSim*-Intel® FPGA edition software.

ModelSim-Altera даже sdf не поддердживает.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 8/25/2022 at 2:25 PM, StewartLittle said:

В явном виде нет. Но - смотрите здесь:

https://www.intel.co.uk/content/www/uk/en/software/programmable/quartus-prime/model-sim.html#faq-answers-1-0

 

ModelSim-Altera даже sdf не поддердживает.

The ModelSim*-Intel® FPGA edition software includes the base features of ModelSim* PE

Я нашёл табличку, где assertion имеются только в версии DE, а в PE и SE - отсутствуют. Если Starter Edition - это урезанная PE, тогда понятно. Сейчас Quartus переходит на QuestaSim, любопытно, там-то появится возможность использовать assertion?

Спасибо всем за разъяснения.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В 25.08.2022 в 14:35, flammmable сказал:

Сейчас Quartus переходит на QuestaSim, любопытно, там-то появится возможность использовать assertion?

image.thumb.png.094c21571d9f1e7bccf9c2eaadf96bdb.png

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...