Перейти к содержанию
    

LVDS SERDES

Добрый день

Сделал проект в котором использую корку LVDS SERDES Intel (5 штук). На этапе fitter мне выдается ошибка

		Error (16234): No legal location could be found out of 36 considered location(s).  Reasons why each location could not be used are summarized below:
			Error (18515): Attempted to route one dedicated refclk pin, inclock, to 5 IOPLLs. In order to feed multiple IOPLLs, this signal must be promoted to a global clock. (30 locations affected)
				Info (175029): B15
				Info (175029): A15
				Info (175029): E12
				Info (175029): E11
				Info (175029): AH18
				Info (175029): AG18
				Info (175029): AK23
				Info (175029): AL23
				Info (175029): AF14
				Info (175029): AG15
				Info (175029): AL10
				Info (175029): AM10
				Info (175029): and 18 more locations not displayed
			Error (18515): Attempted to route one dedicated refclk pin, inclock, to 5 IOPLLs. In order to feed multiple IOPLLs, this signal must be promoted to a global clock. (4 locations affected)
				Info (175029): H18
				Info (175029): G18
				Info (175029): D19
				Info (175029): C19

Поиск ошибки по интернет привел к https://www.intel.com/content/www/us/en/support/programmable/articles/000074176.html

С одной коркой проблем нет.

Ниже скриншоты проекта

image.thumb.png.b1fec7fc82ebd9b620a9b0080f5d816c.png

image.thumb.png.dbb09939975bf66d9beb978328ca54dc.png

 

Чтобы выполнить

set_instance_assignment -name GLOBAL_SIGNAL GLOBAL_CLOCK -to xxx

мне во внутрь корок смотреть(RTL viewer), чтобы увидеть сигналы? или я не прав?

Возможно кто-то может сгенерировать проект - этот проект может решить проблему за счет внешнего pll

https://www.intel.com/content/www/us/en/docs/programmable/683520/22-1-20-0-1/combined-ip-transmitter-and-receiver.html

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 5/20/2022 at 5:06 AM, des00 said:

я руками все такое расписывал 

Подскажите пожалуйста когда Вы работали с LVDS ставили внешние микросхемы или делали передачу непосредственно с пинов FPGA?

При передачи данных наблюдались ли ошибки?

Применяли ли Вы помехозащищенное кодирование например 8b/10b?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 hour ago, Maverick_ said:

Подскажите пожалуйста когда Вы работали с LVDS ставили внешние микросхемы или делали передачу непосредственно с пинов FPGA?

нагружал ЦАП, с пинов FPGA

1 hour ago, Maverick_ said:

При передачи данных наблюдались ли ошибки?

Нет

1 hour ago, Maverick_ said:

Применяли ли Вы помехозащищенное кодирование например 8b/10b?

Нет.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Делал передачу данных по lvds между двумя отладочными платами с MAX10, всё работало, данные продавались без ошибок.

Гонял как простой HDLC так и голые данные.

Из нюансов, банк в котором бегает lvds должен питаться максимум от 2,5 вольт.

Если тактовая также по lvds, то несколько пинов вокруг оставлять пустыми, если не ошибаюсь.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...