Перейти к содержанию
    

Приемопередатчик MLX90121

Есть микросхемка - приемопередатчик MLX90121. Никак не могу до конца разобраться с режимами ее приема! У нее 2 режима приема:

- Direct Reception;

- Majority Voting (MV) Reception.

1. В режиме Direct Reception цифровые данные с микросхемки поступают без синхросигнала, так ли это??? Если так, то как лучше организовать прием сигнала?

2. В режиме MV Reception прием начинается с подачей '1' сигнала CK, только вот вопрос, когда подать эту самую '1'??? Судя по примеру (Example in ISO1569-Dual Sub-carrier), логическое значение '1' сигнала CK нужно подавать тогда, когда на входе (в ПЛИС) DOUT появится '1', но тогда, во-первых, появляется неизвестная нам задержка и получается рассогласование обработчика Majority Voting и данных, а во-вторых, мы не примем первые '0'???

Может я не совсем правильно понял даташит, растолкуйте кто может!

Datasheen смотри во вложении или качай отсюда: http://www.melexis.com/Asset.aspx?nID=4755

MLX90121_REV6.pdf

Изменено пользователем ArAhis

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Неужели никто не подскажет :( :( :(

 

Рекомендую обратиться напрямую в Мелексис :-)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...