Перейти к содержанию
    

Связать Modelsim 6.0c и Quartus 5.0

Буду благодарен, если подробнее опишите, как правильно настроить для совместной работы Modelsim 6.0c и Quartus 5.0. Чтобы из-под Quartusa можно было проводить моделирование, вызывая ModelSim, ну и так далее...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да там ничего особенного, настраивать и не нужно: в Quartuse Assigments/Settings/ EDA Tool Settings/Simulation надо выбрать Modelsim, указать тестбенч файл, которым будете проект проверять проект, поставить там галочку Run Gate Level Simulation automatically after Compilation и откомпилить проект. Он автоматически запустит Моделсим и все по тестбенчу просимулирует.

 

Вот на сайте Альтеры есть видеодемострация по Моделсиму:

 

http://www.altera.com/education/demonstrat...rification.html

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

У меня эта связка автоматом не заработала, возможно по причине ломанности Моделсима.

Поэтому компилил Моделсимом либы для используемого девайса и складывал их в рабочий фолдер.

Как скомпилить либы - написано в Квартусном хелпе, если в Index набрать Modelsim.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...