Перейти к содержанию
    

PLL ModelSim Quartus Prime Lite Edition

Пытаюсь симмулировать проект в ModelSim Altera c PLL. Проект для Cyclone V E. Файлы для симмулирования PLL созданы MegaWizard c помощью Quartus Prime Lite из каталога: Installed IP>Library>Clocks,...>PLL>Altera PLL.

При симуляции ModelSim ругается:

# Start time: 14:23:36 on Oct 26,2020
# Loading sv_std.std
# Loading work.pll200MHz_tb
# Loading work.pll200MHz
# Loading work.pll200MHz_0002
# ** Error: (vsim-3033) D:/svn/ModelSim/ml/adcLtc2387/pll/pll200MHz/pll200MHz_0002.v(78): Instantiation of 'altera_pll' failed. The design unit was not found.
#    Time: 0 ps  Iteration: 0  Instance: /pll200MHz_tb/pll200MHz_inst/pll200mhz_inst File: D:/svn/ModelSim/ml/adcLtc2387/pll/pll200MHz/pll200MHz_0002.v
#         Searched libraries:
#             C:/intelFPGA_pro/18.1/modelsim_ase/altera/vhdl/altera_mf
#             C:/intelFPGA_pro/18.1/modelsim_ase/altera/verilog/altera_mf
#             D:/svn/ModelSim/ml/adcLtc2387/pll/work
# Error loading design
# End time: 14:23:36 on Oct 26,2020, Elapsed time: 0:00:00
# Errors: 1, Warnings: 0

Похоже, что нужно подключить еще какую-нибудь альтеровскую библиотеку или PLL создать, как то по другому.
Но после симмуляции необходимо скомпилировать в Quartus Prime Lite.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

58 minutes ago, IgorMov said:

C:/intelFPGA_pro/18.1/modelsim_ase/altera/verilog/altera_mf

Физически они есть?

Моделсим из под квартуса открываете? Если да, проверьте, есть ли в папке Simulation библиотеки вашей pll. В отдельной директории должны лежать.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

"C:/intelFPGA_pro/18.1/modelsim_ase/altera/verilog/altera_mf"

Да есть

Моделсим открываю отдельно от квартуса.

Создаю для проекта testbench. В отдельную папку копирую файлы для pll (созданные в квартусе).

В квартусе в папке \simulation\modelsim\ создаются файлы.

Требуется в моделсиме проверить логическую работоспособность проекта с pll. Для проектов со старыми чипами типа Cyclone III, достаточно было скопировать pll верилоговский файл созданный старым квартусом 13.0 (мегавизард) и все без проблем симмулировалось в моделсиме.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ага, понятно, у вас pro.
Тогда вот если пошагово сделаете начиная с 1.2 и далее, все должно заработать. Еще кстати важно, моделсим скачать именно для своей версии квартуса (ну и получается чипа), могут не все библиотеки быть для старта. Всмысли pll будет, а C10 не будет (ошибочка вышла, у вас CV).

https://www.intel.com/content/www/us/en/programmable/documentation/yur1496247032051.html

Изменено пользователем new123

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Как я понимаю, простым копированием файлов .v .sv и созданием нового отдельного проекта в МоделСиме с использованием pll, созданного в IP catalog не получится.
Вернулся к проекту в Квартусе. Согласно вашей ссылке выполнил п.1.2 и начал выполнять п.1.3. Processing > Start Compilation выполнил. Пытаюсь выполнить Tools > Generate Simulator Setup Script for IP. В моем Quartus Prime Version 17.0.0 Build 595 04/25/2017 SJ Lite Edition не вижу  Tools > Generate Simulator Setup Script for IP. Десктоп квартуса:

image.thumb.png.1980016f8b9dc9a2cb788ff98898ce4f.png

Пытался попробовать разобраться с примером из 
https://www.intel.com/content/www/us/en/programmable/support/support-resources/design-examples/design-software/simulation/modelsim/exm-pll-simulation.html
Но там проект для Stratix II. А мой Quartus Prime Version 17.0.0 Build 595 04/25/2017 SJ Lite Edition не поддерживает...
Error (20005): Cannot compile your design for one of the devices in the Stratix II family of devices. A license file is required
 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Извините, вы написали путь выше "C:/intelFPGA_pro/18.1/modelsim_ase/altera/verilog/altera_mf", я подумал PRO. В лайте нет, да.

А чего вы тогда отдельно запускаете Modelsim?  Начните с того, чтобы квартус сам все запустил. Tools/Run Simulation Tool/RTL Simulation. Если у вас пути в квартусе к моделсиму правильно настроены, тогда квартус сам и скрипты и библиотеки сам сформирует и все запустит.

 

Подробная инструкция, три года назад по ней учился настраивать http://we.easyelectronics.ru/plis/quartus-modelsim-integraciya-v-kartinkah-i-sozdanie-testbench.html

Тоже нормальная https://adelectronics.ru/2018/06/03/запускаем-modelsim-с-quartus-prime-и-без/

Изменено пользователем new123

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да. Вы правы. Я не написал, что модел сим у меня не соответствовал Quartus Lite 17.0. Поставил моделсим, который соответствует. Те же ошибки
# ** Error: (vsim-3033) D:/svn/ModelSim/ml/adcLtc2387/pll_newModelSim/pll200MHz_0002.v(78): Instantiation of 'altera_pll' failed. The design unit was not found.
#    Time: 0 ps  Iteration: 0  Instance: /pll200MHz_tb/pll200MHz_inst/pll200mhz_inst File: D:/svn/ModelSim/ml/adcLtc2387/pll_newModelSim/pll200MHz_0002.v
#         Searched libraries:
#             C:/intelFPGA/17.0/modelsim_ase/altera/vhdl/altera_mf
#             D:/svn/ModelSim/ml/adcLtc2387/pll_newModelSim/work
# Error loading design
Т.е. без квартуса, как я работал раньше, cиммулировать отдельно в моделсиме с pll из IP catalog не получится. Изучаю ваши ссылки. Зараннее спасибо.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

11 hours ago, IgorMov said:

Т.е. без квартуса, как я работал раньше, cиммулировать отдельно в моделсиме с pll из IP catalog не получится. Изучаю ваши ссылки. Зараннее спасибо.

в просмоторщике либ в моделсиме компонент этот есть? в самом файле altera_mf он тоже существует? Ошибка говорит четко, компонента нет, либо его нет в исходном файле, либо либа не скомпилирована.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

16 hours ago, IgorMov said:

Т.е. без квартуса, как я работал раньше, cиммулировать отдельно в моделсиме с pll из IP catalog не получится.

я бы сделал один раз из квартуса. Посмотрел все что он формирует в директории simulation (как раз нужные библиотеки и в скриптах запуска их подключение), а потом бы отдельно запускал, а то если большой проект, то квартусовские скрипты жутко долгие, пару минут ждать запуск.

Изменено пользователем new123

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Спасибо за информацию. Похоже пробился и получилось просиммулировать.
Основное, что я хотел провести симмуляцию без Квартуса.
Первый шаг попробовал с квартусом. Болше помогла ссылка https://adelectronics.ru/2018/06/03/запускаем-modelsim-с-quartus-prime-и-без/ (спасибо new123).
Тоже пришлось осуществить танцы с бубнами. Почему то при запуске МоделСима из под Квартуса,МоделСим не находил файл с моим созданным pll *.vo. Этот файл был в другом каталоге, не там где его искал МоделСим. Перенес в тот и заработало.
После этого шага я понял, что кроме файла моего pll *.v нужен еще *.vo. 
Вернулся к работе в МоделСиме без квартуса добавил в проект файл *.vo. Все равно МоделСим не компилирует и ругается:
# ** Error: (vsim-3033) D:/svn/ModelSim/tmp/pllTestNew/pll200MHz.vo(46): Instantiation of 'altera_pll' failed. The design unit was not found.
#    Time: 0 ps  Iteration: 0  Instance: /pll200MHz_tb/pll200MHz_inst File: D:/svn/ModelSim/tmp/pllTestNew/pll200MHz.vo
#         Searched libraries:
#             C:/intelFPGA/17.0/modelsim_ase/altera/vhdl/altera_mf
#             D:/svn/ModelSim/tmp/pllTestNew/work
Тогда я рещил поискать, а где же этот либ элемент 'altera_pll'. Начинаю поиск в моем МоделСимовском каталоге c:\intelFPGA\17.0\modelsim_ase\altera\ текста 'altera_pll' и нахожу в каталогах \altera_lnsim\.
Снова запускаю симуляцию и добавляю либ altera_Insim и все стало симмулироваться.
Еще раз спасибо new123 и des00
 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...