Перейти к содержанию
    

Тестирование MIG_7 и DDR3(SDRAM)

Добрый день!

Начал тестить mig7 c ddr3 (sdram) и получаю бред. ddr3 контроллер написан xilinx. Запись и чтение в burst режиме.

Софт
Vivado 2017.2

Параметры mig:


PHY to Controller clock ratio: 4:1
Number of Bank machines: 4

Memory Type: components

memory part: MT41j256m16xx - 107

Ordering: normal

Memory address maping selection: bak-row-column
------------------------------------------------------------
Собственно по картинкам. 

Запись
Видно, что по адресу 0x000..c0 пишется 6, по адресу 0x000..e0 - 7, по адресу 0x000..100 - 8
 

Чтение

0x000..c0 - 6, 0x000..e0 - 7, 0x000..100 - 7, 0x000..120 - 8
-------------------------------------------------------------

Кто сталкивался и как без костылей обходится такая проблема?

 

read.png

write.png

Изменено пользователем big_ben

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...