Перейти к содержанию
    

Testbench'и на VHDL в Quartus'е

Всем доброго времени суток. У меня появмлся такой вопрос. Я програмист VHDL, раньше работал в среде ISE Xilinx, сейчас пришлось перейти нп Альтеру с ее Quartus'ом. Может я просто мало еще разбирался в нем, но пока не нашел возможности модуляции проекта с использованием тестбенчей на VHDL. Так сложилось, что wave-редактор не перевариваю и привык работать только с тестбенчами. Скажите, кто знает - можно ли в Quartus'е юзать тестбенчи, а если нет, то есть ли проги или макросы преобразовывающие исходники тестбенчей в файл диаграммы для моделирования. Заранее огромное спасибо.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

не нравятся вейвформы берите Моделсим да симулируйте себе наздоровье с тестбенчами - вообще не понимаю зачем использовать среды проектируемые для имплементации как среды моделирования (что Квартус что ИСЕ)

ох уж мне эти программисты ... на ВХДЛ ;)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

ну не нравятся вейвформы берите Моделсим да симулируйте себе наздоровье с тестбенчами - вообще не понимаю зачем использовать среды проектируемые для имплементации для моделирования (что Квартус что ИСЕ)
Я так понимаю, ИСЕ нормально работает с моделсимом и тестбенчами в отличие от квартуса... (?)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я так понимаю, Quartus нормально работает с моделсимом.

В отличии от ИСЕ имеется возможность функционального и временного моделирования средствами самого Quartus. Но графический ввод входных воздействий.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я так понимаю, Quartus нормально работает с моделсимом.

В отличии от ИСЕ имеется возможность функционального и временного моделирования средствами самого Quartus. Но графический ввод входных воздействий.

 

Вы не совсем правы, там есть возможность ввода воздействий в тектовом файле, определененного формата.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

я не понимаю а зачем все эти заморочки с автоматическим импортированием из одной среды в другую - делаете back annotation в среде имплементации (создаётся файл структурного представления + файл задержек распространения) и моделируете в любой среде моделирования - по крайне мере не зависите от поставщиков ПО и глюков импортирующих модулей - в конце концов все эти импортёры так и делают, только за вас ещё и приложения запускают с указанием путей до этих файлов

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

не нравятся вейвформы берите Моделсим да симулируйте себе наздоровье с тестбенчами - вообще не понимаю зачем использовать среды проектируемые для имплементации как среды моделирования (что Квартус что ИСЕ)

ох уж мне эти программисты ... на ВХДЛ ;)

 

В Моделсиме нет возможности симуляции модели с временными задержками микросхемы, с которой ты работаешь.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В Моделсиме нет возможности симуляции модели с временными задержками микросхемы, с которой ты работаешь.

ну конечно а .sdf формат уже отменили. посмотрите по поиску - это уже неоднократно здесь обсуждалось. я же говорю делайте back annotation - этот процесс как раз ровно для этого и предназначен

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...