Перейти к содержанию
    

Связка Quartus 17-ModelSim 10.2c

Коллеги, подскажите. Симуляция в моделсиме прекрасно работала пока не добавил IP-core. Один из vhdl-файлов IP-core требует библиотеку altera_mf. Запускаю "Launch Simulation Library Compiler" (стоят галки Verilog и VHDL), он успешно все компилирует и создает файл modelsim.ini с указанием пути к этой самой "altera_mf". Затем запускаю "RTL Simulation", при этом все касательно VHDL-библиотек из "modelsim.ini" исчезает и соответственно Моделсим выдает ошибку "Library altera_mf not found. (vcom-1136) Unknown identifier "altera_mf"." Как заставить Квартус не выкидывать пути к библиотекам при запуске симуляции, может где-то есть настройка?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Пропишите все пути к библиотекам в разделе [Library] ini-файла, который находится в коневой (инсталляционной) папке самого МodelSim'а. Так они будут видны всем и всегда.

С этого ini-файла предварительно нужно будет снять атрибут снять, а после добавления библиотек вернуть его обратно.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Пропишите все пути к библиотекам в разделе [Library] ini-файла, который находится в коневой (инсталляционной) папке самого МodelSim'а. Так они будут видны всем и всегда.

С этого ini-файла предварительно нужно будет снять атрибут снять, а после добавления библиотек вернуть его обратно.

 

Спасибо Вам огромное, Stewart Little! Помогло.

И все-таки, нельзя ли квартусу как-то сказать, чтобы при запуске "RTL Simulation" он не трогал файлы "_run_msim_rtl_verilog.do" и "modelsim.ini", выкидывая из них пути к библиотекам? Или может эти пути надо явно где-то в настройках квартуса прописать?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вообще, по умолчанию quartus добавляет нужные отображения библиотек в *do файлы для modelsim/questasim. И обычно никаких дополнительных телодвижений не требуется

У меня была такая проблема, что перестала находится библиотека alterf_mf. Разбор полетов показал, что я портировал проект на новую версию quartus-a и quest-ы и случайно заменил при этом формат библиотек VHDL на Verilog:

2024-07-21_18-47.thumb.png.fe71f5e07af39cda19d7a1f1f379ad1f.png

При этом, так как мои IP-корки были уже сгенерированны под VHDL, им требовалась библиотека altera_mf, а в *do файле в соответствии с настройками EDA добавлялось отображение на библиотеки верилога
vmap altera_mf_ver /home/zhulanov/work/simlibs/q20.1_questa24.1/verilog_libs/altera_mf_ver

Во общем-то поэтому нужная библиотека не находилась.

После изменения формата симуляции на VHDL проблема ушла.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В 22.03.2018 в 15:45, 10ff сказал:

Как заставить Квартус не выкидывать пути к библиотекам при запуске симуляции, может где-то есть настройка?

Вам жизненно необходима эта связка? Сначала скомпилировать и смоделировать ваш проект (сгенерировав к Quartus все, необходимые вам IP-ядра, а также привнеся в него (если это "чистый" или вендоро-независивый инструмент) необходимые библиотеки) в "чистом" ModelSim (Questa), а уж потом передать его в Quartus для всего последующего - есть какие-то затруднения?
Мне (и, если я не ошибаюсь, не только мне) о таком подходе в своё время об этом глубокоуважаемый мною Иосиф Григорьевич Каршенбойм @iosifk сказал, и я тех пор только так и работаю: касаемо это Quartus, Gowin* или кого-либо ещё.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...