Перейти к содержанию
    

Параметры DOA_REG, DOB_REG для RAMB36

Можно ли задать параметры DOA_REG=1, DOB_REG=1 для RAMB36?

 

Память задается стандартным для Verilog способом:

 

reg [15:0] ram1 [(2**15)-1:0];

 

ПЛИС Artix-7. Результат просматриваю в FPGA Editor: DOA_REG=0, DOB_REG=0.

Перепробовал множество вариантов - результат тот же.

Другие варианты задания памяти исключаются.

Заранее благодарен за подсказку.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

День добрый.

 

Нужно прописать дополнительные регистры на выходе, после этого автоматом все будет.

UG687 в помощь

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если Вы говорите об этом:

 

reg [15:0] dataA, dataB;

reg [(2**15)-1:0] addrA, addrB;

 

always @(posedge clk) begin

dataA <= ram1[addrA];

dataB <= ram1[addrB];

end

 

то это у меня сделано с самого начала.

Если Вы говорите о другом, то просьба: уточните, о чем речь?

Что касается UG687, то я его использовал (может что-то упустил?).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если Вы говорите об этом:

 

reg [15:0] dataA, dataB;

reg [(2**15)-1:0] addrA, addrB;

 

always @(posedge clk) begin

dataA <= ram1[addrA];

dataB <= ram1[addrB];

end

 

то это у меня сделано с самого начала.

Если Вы говорите о другом, то просьба: уточните, о чем речь?

Что касается UG687, то я его использовал (может что-то упустил?).

 

 always @(posedge clk) begin
    pipaA <= ram1[addrA];   
    popaB <= ram1[addrB];
    dataA <= pipaA;   
    dataB <= popaB;
  end

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...