Перейти к содержанию
    

Реализация Cordic алгоритма на ПЛИС

Добрый день!

Решил повторить реализацию Cordic алгоритма из kit-e.ru/assets/files/pdf/2011_12_36.pdf на ПЛИС.

Проект состоит из 5 модулей (структурная схема во вложении):

1) reset_block.v - аппаратный сброс и установка всех регистров в начальное положение.

2) step_control.v - модуль. формирующий шаг фазы для вычисления синуса и косинуса, а так же четверть в которой находится выходной сигнал

3) Cordic.v - модуль, формирующий конвейер из блоков, вычисляющих выходные значения синуса и косинуса.

4) rotator[0-15].v - модуль, поворачивающий вектор на заданный угол.

5) select_quarter.v - модуль, подводящий вычисленное значение синуса и косинуса под весь диапазон АЦП.

post-83540-1460533202_thumb.jpg

В модуле step_control.v значение фазы Angle задает значение выходной частоты.

В статье сказано, что значение Angle в 3216 соответствует фазе в 90 градусов, т.е увеличивая каждый такт значение Angle на 1, на выходе мы получим частоту равную f.out=F.clk/3216/4 (на 4 делится, чтобы получить полный период, а не только до 90 градусов).

Откуда взято, что значение Angle в 3216 соответствует фазе в 90 градусов?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Может быть значение pi приведено к формату fract из 12 бит? Тогда pi/2 буден равно pi/2 * (2^11 - 1) = 3216.

Изменено пользователем D.I.M.A

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

координаты автора есть в статье. почему бы его не спросить ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Может быть значение pi приведено к формату fract из 12 бит? Тогда pi/2 буден равно pi/2 * (2^11 - 1) = 3216.

Скорее всего так и есть.

 

 

координаты автора есть в статье. почему бы его не спросить ?

Автору статьи отписал. В случае ответа - отпишу.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Немного оффтопа:

Короткий табличный метод с линейной аппроксимацией между точками таблицы имеет точность на порядок выше, одну итерацию и короткий высокочастотный конвейер.

Хороший тракт обработки на этом Кордик генераторе не построить. Либо криво, либо медленно.

Еще хороший вопрос о целесообразности выбранной сетки углов не кратной степени двойки. Дальнейший алгоритм обработки превращается в кошмар.

Изменено пользователем Corner

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Corner

Короткий табличный метод с линейной аппроксимацией между точками таблицы
Для синтеза синуса/косинуса - безусловно. А как насчёт перевода комплексного числа из декартовой системы координат в полярную? Для этой задачи я не нашёл ни одного метода, который по скорости и точности был бы сравним с CORDIC. Может вы подскажете?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Corner

Для синтеза синуса/косинуса - безусловно. А как насчёт перевода комплексного числа из декартовой системы координат в полярную? Для этой задачи я не нашёл ни одного метода, который по скорости и точности был бы сравним с CORDIC. Может вы подскажете?

 

Не вижу принципиальной разницы. Нужна исходная таблица чуть больше и таблица поправок, если нужна высокая точность. Одна итерация и пять тактов конвейера.

Еще такая задача решается в лоб через деление, по типу перевода синуса/косинуса компонент в фазовый угол.

Изменено пользователем Corner

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Corner

Принципиальная разница в том, что в LUT для генерации синуса лежит четверть периода единичной окружности, а при обратном преобразовании для общего случая нужно хранить единичный квадрат. Если взять хотя бы 10-битную точность, получаем необходимость хранить 2^(10*2) = 2^20 точек. Не многовато ли? А CORDIC, если делать две итерации за такт, сделает преобразование такой точности за 5-6 тактов.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Corner

Принципиальная разница в том, что в LUT для генерации синуса лежит четверть периода единичной окружности, а при обратном преобразовании для общего случая нужно хранить единичный квадрат. Если взять хотя бы 10-битную точность, получаем необходимость хранить 2^(10*2) = 2^20 точек. Не многовато ли? А CORDIC, если делать две итерации за такт, сделает преобразование такой точности за 5-6 тактов.

Совершенно не так. Если делать аппроксимацию прямой, нужно только 1024 точки. Если сделать таблицу поправок на эллиптичность, то нужно 2 таблицы на 256 точек.

Если верить статье в начале топика, то для точности ~0,4 градуса надо 8 итераций. Это очень медленно. Задача решенная в лоб делением и двумя таблицами, работает на 200 МГц (Спартан 6) в потоке с 18 тактным конвейером и имеет точность ~0,006 градуса. Ваш Кордик нервно курит в углу.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

работает на 200 МГц (Спартан 6) в потоке с18 тактным конвейером и имеет точность ~0,006 градуса. Ваш Кордик нервно курит в углу.

на 0.0069/0,0035 градусов, потребуется 13/14 тактов + 1 такт по входу на определение квадранта. Итого 15 тактов латентности и тактовая, на спартане 6, будет под 250-280МГц. Не совсем понял, что именно вы имели в виду про курение.

 

ЗЫ. Плюсы кордика - отсутствие умножителей, памяти, простая параметризуемость. Минусы - размер при однотактном варианте и несимметричность результатов, в зависимости от квадранта.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

на 0.0069/0,0035 градусов, потребуется 13/14 тактов + 1 такт по входу на определение квадранта. Итого 15 тактов латентности и тактовая, на спартане 6, будет под 250-280МГц. Не совсем понял, что именно вы имели в виду про курение.

 

ЗЫ. Плюсы кордика - отсутствие умножителей, памяти, простая параметризуемость. Минусы - размер при однотактном варианте и несимметричность результатов, в зависимости от квадранта.

У вас 15 итераций. А не потоковый конвейер. У меня приходит 125 МСэмплов и выдается 125 Муглов с задержкой на 18 тактов. Кордик, который такое делает, съест всю плисину. Если использовать умножители в алгоритме быстрого деления, тактов станет 10. Никакой асимметрии от квадранта и точность 0,006 градуса получена с избытком. Просто удобно на выходе имет 16 битный угол = 360/65536.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

У вас 15 итераций. А не потоковый конвейер. У меня приходит 125 МСэмплов и выдается 125 Муглов с задержкой на 18 тактов. Кордик, который такое делает, съест всю плисину. Если использовать умножители в алгоритме быстрого деления, тактов станет 10. Никакой асимметрии от квадранта и точность 0,006 градуса получена с избытком. Просто удобно на выходе имет 16 битный угол = 360/65536.

не знаю как у вас, а у меня кордик считает на 500мегасемплах, с задержкой 15 тактов. и весит 1,2к логики

 

ЗЫ. Генератор кордиков выкладывал на этом форуме. Искать лень.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

не знаю как у вас, а у меня кордик считает на 500мегасемплах, с задержкой 15 тактов. и весит 1,2к логики

 

ЗЫ. Генератор кордиков выкладывал на этом форуме. Искать лень.

1,2 к логики. Это 1/4 моей ПЛИС((((

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...