Перейти к содержанию
    

Как правильно подключить *.ngc к PlanAhead(XPS)

Как правильно подключить *.ngc сгенерированный CoreGen к PlanAhead -> XPS, если просто скопировать XPS ругается на отсутствие vhdl файла, если скопировать туда же файл vhdl сгенерированный CoreGe, то ругаться перестает, но уже planAhead выдает ошибку такого рода

 

[NgdBuild 604] logical block 'module_111_i/axi_stream_generator_0/axi_stream_generator_0/axi_fifo_32b_inst' with type 'fifo_generator_v9_3' could not be resolved. A pin name misspelling can cause this, a missing edif or ngc file, case mismatch between the block name and the edif or ngc file name, or the misspelling of a type name. Symbol 'fifo_generator_v9_3' is not supported in target 'zynq'.

 

Подключить пытаюсь файл CoreGen из этого примера

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...