Перейти к содержанию
    

Multithreading with the Vivado Tools 2015.4 for Win7/64

ug904 глава 1.

 

На 4 ядерном процессоре i5-4670 3.4GHz память 16 и SSD win7 64bit

Устанавливая различные значения set_param general.maxThreads 1,2,3,4,8. Устанавливал в tcl-console и занулял файлы синтеза/имплемента. время смотрел в Design Runs.

На примере CPU (HDL) Large mixed-language RTL project получил (без усреднения значений) мин.сек

xc7v585tffg1157-2

 

maxThreads - синтез - имплемет - процент - процент

1 - 4.14 - 7.59 - 100% - 100%

2 - 3.51 - 5.26 - 90% - 68%

3 - 3.32 - 4.47 - 84% - 60%

4 - 3.40 - 4.44 - 87% - 60%

8 - 3.37 - 4.35 - 85% - 57%

 

Для моего случая оптимум N-1 потоков те 3. (остаётся один процессор для работы самих виндовс).

Изменено пользователем Alex77

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...