Перейти к содержанию
    

Сделать сдвиговый регистр на Altera MAX V

Целевое устройство -- CPLD Altera 5M40ZE64C5N из семейства MAX V. Требуется реализовать на нем сдвиговый регистр наподобие 74HC164 (см. например http://www.nxp.com/documents/data_sheet/74HC_HCT164.pdf)

Отличия от 164:

-- регистр должен быть не 8-битным, а большей разрядности: столько, сколько получится;

-- не два, а только один последовательный вход;

-- использовать возможности Max V по разделению напряжения питания и уровня логических входов и выходов.

Регистр должен поддерживать daisy chaining, то есть последовательное включение нескольких устройств для увеличения разрядности.

 

В результате ожидается схема или таблица, которая показывает, какая нога CPLD что делает и куда подключается, а также прошивка вместе с исходным проектом для Quartus, которую можно зашить на CPLD.

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Сделано, куда сдавать? :)

 

Встречный вопрос, как в анекдоте: куда платить деньги?..

 

Пришлите что-нибудь на [email protected]

А я отвечу со своего основного ящика.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В инете много примеров.

Вот например регистр на 64 (немного доработав получите под свою задачу). Написан на verilog

 

module shift_1x64 (clk,

shift,

sr_in,

sr_out,

);

 

input clk, shift;

input sr_in;

output sr_out;

 

reg [63:0] sr;

 

always@(posedge clk)

begin

if (shift == 1'b1)

begin

sr[63:1] <= sr[62:0];

sr[0] <= sr_in;

end

end

 

assign sr_out = sr[63];

 

endmodule

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Нормальному плисочнику это где-то на 5... 7 минут работы)))

 

Нормальный плисочник не будет брать заказы уровня студента 1-го курса.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...