Перейти к содержанию
    

АЦП HMCAD1511 от Hittite в одноканальном режиме может выдавать 1GSps. 8 битов данных будут передаваться по 8 LVDS линиям. Значит, битовый интервал Tlvds равен 1 ns. Реально ли захватить такие сигналы, и на какой ПЛИС? Смотрю, Циклоны, вроде, на такой подвиг не способны.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

АЦП HMCAD1511 от Hittite в одноканальном режиме может выдавать 1GSps. 8 битов данных будут передаваться по 8 LVDS линиям. Значит, битовый интервал Tlvds равен 1 ns. Реально ли захватить такие сигналы, и на какой ПЛИС? Смотрю, Циклоны, вроде, на такой подвиг не способны.

например

https://www.altera.com/en_US/pdfs/literatur...x4_siv54001.pdf

стр 55-56

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

По-моему, это не то. Transceiver. В Cyclone GX тоже такие есть, но можно ли ими принять данные в том формате, что передает HMCAD...?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Реально ли захватить такие сигналы, и на какой ПЛИС?

арии и стратиксы

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Спасибо ответившим!

А вот эта цитата из руководства на Cyclone IV не дает права надеяться на результат?

Cyclone IV GX devices contain up to eight full duplex high-speed transceivers that

can operate independently. These blocks support multiple industry-standard

communication protocols, as well as Basic mode, which you can use to implement

your own proprietary protocols.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Дополню вопрос картинкой. Вот такие сигналы нужно принять. Не прокатят ли здесь те самые трансиверы с сериализаторами? Сигналы-то передаются последовательно, а дальше можно складывать каждую линию в свою память...

То есть, я неправильно описал в первом сообщении, что 8 битов передаются по 8 линиям. Нет, каждый байт идет по своей линии. И так работает во всех режимах - 4-канальном. 2-канальном и 1-канальном.

post-10362-1432100325_thumb.jpg

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Трансиверы прокатывают, только если уровни DC совпадают, или можно отвязать конденсаторами. У вас ни то, ни другое не выполняется: у Циклонов уровень DC в приёмнике требуется 0.85V, если мне память не изменяет, а у этого АЦП он 1.2. Конденсаторы вы вставить тоже не можете, так как нет 8b/10b кодирования в канале. На мой взгляд, поставить ADC08D500 вам встанет дешевле: сам АЦП будет дороже, но на ПЛИС вы сэкономите.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Трансиверы прокатывают, только если уровни DC совпадают, или можно отвязать конденсаторами. У вас ни то, ни другое не выполняется: у Циклонов уровень DC в приёмнике требуется 0.85V, если мне память не изменяет, а у этого АЦП он 1.2. Конденсаторы вы вставить тоже не можете, так как нет 8b/10b кодирования в канале. На мой взгляд, поставить ADC08D500 вам встанет дешевле: сам АЦП будет дороже, но на ПЛИС вы сэкономите.

Да, что-то не стыкуется... Тогда возьму HMCAD1510 с их 500 MSps. Два? :wacko:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да, что-то не стыкуется... Тогда возьму HMCAD1510 с их 500 MSps. Два? :wacko:

Замучаетесь ещё больше. Это надо буфер (чтобы разветвить сигнал) плюс в одном канале сделать точную задержку.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Hittite АЦП связываются с Xilinx Spartan6 используя при этом примитивы ISERDES.

По этому поводу написан Xilinx xapp1064. У Xilinx есть также порядка десятка xapp

по синхРонному приему данных на все случаи.

Есть также Hittite ADC evaluation kit на основе S6.

 

Hittite АЦП связываются с Xilinx Spartan6 используя при этом примитивы ISERDES.

По этому поводу написан Xilinx xapp1064. У Xilinx есть также порядка десятка xapp

по синхРонному приему данных на все случаи.

Есть также Hittite ADC evaluation kit на основе S6.

Вообще, у Xilinx с документацией по разлизным темам и вопросам гораздо лучше, чем у Altera.

По этой теме имеются application note xapp855, xapp856, xapp860, xapp866, xapp774, xapp1071,

xapp524, xapp585 и др при этом имеются .zip файлы с примерами использования, конкретной реализации.

Прием данных от high speed adc, Для различных семейств fpga.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Hittite АЦП связываются с Xilinx Spartan6 используя при этом примитивы ISERDES.

...

Вообще, у Xilinx с документацией по разлизным темам и вопросам гораздо лучше, чем у Altera.

Спасибо! Я пока этой темой не занимаюсь. Забросил удочку, ищу варианты. Но скоро обязательно займусь.

С Xilinx дел не имел, и уже чувствую, что зря. Когда-то подсадили на Altera FLEX, и покатился...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Спасибо! Я пока этой темой не занимаюсь. Забросил удочку, ищу варианты. Но скоро обязательно займусь.

С Xilinx дел не имел, и уже чувствую, что зря. Когда-то подсадили на Altera FLEX, и покатился...

 

У Xilinx еще одно преимущество- поддержка (support) ввиде форума регистрируетесь и любой ваш вопрос- ответ.

Народ работает по всему миру и вопросов всяких хватает. Работает поиск на форуме Вот темы форума

http://forums.xilinx.com/

По Hittite ADC, есть другой более универсальный ADC- HMCAD1520. Поскольку Analog Devices купила

Hittite, производство 1520 будет точно продолжено.

Другой интерфейс fpga-Gigabit Transceivers MGT есть у Xilinx и Altera fpga. Они принимают (захватывают)

данные от HS АЦП с интерфейсом JESD204. Это последнее новое направление у AD, TI и др производителей и

пока эти АЦП приблизительно в 2 раза больше потребляют. Пример одного такого АЦП- AD9234.

Есть и другие трудности с ними.

Изменено пользователем Алга

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Знаю, что есть JESD204. Мне 12 разрядов не нужно, хватит 8. А лишние разряды даром не даются, и потребляемая мощность больше, и цена.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Возвращаюсь к теме.

Вот небольшое обсуждение на сайте Altera. Так и не понял, можно или нет, подцепиться к HMCAD1511 через трансиверы.

http://www.alteraforum.com/forum/showthread.php?t=38584

И насчет e2v АЦП, покупали-использовали? Как они вам?

http://www.e2v.com/products/semiconductors/adc/

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...