Перейти к содержанию
    

АктивХДЛ как встроенный сим. для ИСЕ

Можно АктивХДЛ встроить в ИСЕ по типу Моделсима. См. ссылку.

http://support.aldec.com/KnowledgeBase/Art...aspx?aid=000500

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Всё таки интересней наоборот.

Интересно Aldec 7.1 уже поддерживает ISE 8.1 или надо ждать сервис пак ?

Библиотеки для 8.1 уже есть, а вот про подключения я не нашёл.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Всё таки интересней наоборот.

Интересно Aldec 7.1 уже поддерживает ISE 8.1 или надо ждать сервис пак ?

Библиотеки для 8.1 уже есть, а вот про подключения я не нашёл.

 

Судя по информации на оф. сайте, active_HDL 7.1 поддерживает ISE 8.1. Так что сервис пак не нужен.

Библиотеки для 8.1 надо скопировать в папку <путь к AHDL>\Vlib.

Подробнее про установку/обновление библиотек написано тут: http://support.aldec.com/KnowledgeBase/Art...aspx?aid=000033.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Судя по информации на оф. сайте, active_HDL 7.1 поддерживает ISE 8.1. Так что сервис пак не нужен.

 

Я что-то не нашёл об этом упоминания.

В списке ISE 8.1 нет

http://support.aldec.com/KnowledgeBase/Art...ow=avh00253.htm

 

Может я не там смотрю?

Я сейчас работаю с Aldec 7.1, в его списке ISE 8.1 тоже нет.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я что-то не нашёл об этом упоминания.

В списке ISE 8.1 нет

http://support.aldec.com/KnowledgeBase/Art...ow=avh00253.htm

Приношу извинения. Ошибочка вышла, ISE 8.1 действительно нет в списке.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

ALDEC выпустил patch для Active-HDL 7.1 для добавления в design flow manager ссылок на Xilinx ISE 8.1 и Synplify 8.4.

 

Залил в /upload/FPGA/Aldec Active-HDL 7.1/patch_flow_7.1.rtm.zip

 

Просто распакуйте содержимое ZIPа в каталог с Active-HDL.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...