Перейти к содержанию
    

Создание линий задержек в ALTERA MAX+Plus II

Да не на 74, а поставить генератор на 40МГц, железный такой, DIP14(8).

На счет вменнОго поведения, сказано же, схема должна быть по возможности СИНХРОННОЙ, все триггера переключаются одновременно в т.ч. и те, что на выходе.

 

Все понятно :-(

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Надо просто не один LCELL ставить. И все будет хорошо. Я просто добивался меандра на выходе умножителя при комнатной температуре, потом испытывал с разбрососм температур. Скважность конечно менялась, но не на много. Устройства работают на улице, уже больше года. Все хорошо :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Надо просто не один LCELL ставить. И все будет хорошо. Я просто добивался меандра на выходе умножителя при комнатной температуре, потом испытывал с разбрососм температур. Скважность конечно менялась, но не на много. Устройства работают на улице, уже больше года. Все хорошо :)

 

30 лет назад один советский институт разрабатывал и делал штучно уникальные измерительные приборы для АН СССР.

Их метод работы был такой-же. Брали ведро транзисторов и из всего ведра методом подбора находили пару. Ее так-же грели, остужали и тд... Из этой пары делали диф. каскад для усилителя с уникальными параметрами. Потом писали об этом статьи и трубили на конференциях Остальные транзисторы - сами знаете куда....

А я работал в другом НИИ этого же минестерства. И задача стояла так, чтобы делать серийные приборы.

А для серии надо, чтобы правильно работала КАЖДАЯ микросхема, из любой коробки, даже если изготовитель микросхем через полгода чуть "подправит" технологический процесс и микросхемы станут "немного" быстрее, что кстати несколько лет назад и делала Альтера с серией МАХ.

Вывод: хотите сделать одну штуку "хрен-знает-чего", так прямо об этом и напишите. Мы не будем на это тратить свое время.

Хотите делать серийные изделий - работайте так, как положено работать с ПЛИС.

И еще есть термин "бег" и есть "бег в мешке". Так вот работа с программируемой логикой - это как раз и есть "бег в мешке". И тут те, кто умеет быстро бегать - не выигрывают. Забудьте про RC-цепочки, асинхронные фокусы, усилители из ЛА3.

Здесь надо уметь нечто другое.

И этому надо учиться.

Удачи!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здесь надо уметь нечто другое.

И этому надо учиться.

 

Что именно надо уметь сдесь?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

>30 лет назад один советский институт разрабатывал и делал штучно уникальные измерительные >приборы для АН СССР.

>Их метод работы был такой-же. Брали ведро транзисторов и из всего ведра методом подбора >находили пару. Ее так-же грели, остужали и тд... Из этой пары делали диф. каскад для усилителя с >уникальными параметрами. Потом писали об этом статьи и трубили на конференциях Остальные >транзисторы - сами знаете куда....

>А я работал в другом НИИ этого же минестерства. И задача стояла так, чтобы делать серийные >приборы.

>А для серии надо, чтобы правильно работала КАЖДАЯ микросхема, из любой коробки, даже если >изготовитель микросхем через полгода чуть "подправит" технологический процесс и микросхемы >станут "немного" быстрее, что кстати несколько лет назад и делала Альтера с серией МАХ.

 

И что с того? Если у тебя подростет быстродействие одной ячейки, то также подрастает и быстродействие других. То что делал я именно в серии и работает, никаких глюков, связанных с умножением частоты нет.

 

>Вывод: хотите сделать одну штуку "хрен-знает-чего", так прямо об этом и напишите. Мы не будем на >это тратить свое время.

>Хотите делать серийные изделий - работайте так, как положено работать с ПЛИС.

 

Именно так и работаю. Делаю на умножителе на xor 150MHz из 75 и все хорошо. Дальше - полностью синхронная схемотехника. Что именно должно произойти, чтобы подобная схема не заработала?

 

>И еще есть термин "бег" и есть "бег в мешке". Так вот работа с программируемой логикой - это как раз >и есть "бег в мешке". И тут те, кто умеет быстро бегать - не выигрывают. Забудьте про RC-цепочки, >асинхронные фокусы, усилители из ЛА3.

 

Ничего подобного и не используется :)

Кстати, где-то у ксайликса есть даже аппнота про генераторы на lcell.

 

>Здесь надо уметь нечто другое.

>И этому надо учиться.

>Удачи!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 k0t : все таки таки, наверное, правы Вы.

 

OFF:

 

2 iosifk : Они работали 30 лет назад в одном институте, Вы в другом....В итоге наша электронная индустрия через 30 лет со всеми вашими теориями и принципами в полной и глубокой ж....

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 k0t : все таки таки, наверное, правы Вы.

 

OFF:

 

2 iosifk : Они работали 30 лет назад в одном институте, Вы в другом....В итоге наша электронная индустрия через 30 лет со всеми вашими теориями и принципами в полной и глубокой ж....

 

А потому, что когда таким как Вы дают правильный совет, то они не слушают.

Я за всю промышленность не отвечаю. А то, что я делал и делаю - так не Вам об этом судить!!!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 iosifk : Они работали 30 лет назад в одном институте, Вы в другом....В итоге наша электронная индустрия через 30 лет со всеми вашими теориями и принципами в полной и глубокой ж....

Глупость несусветную говорите. Люди живут не так, как работают, а так, как ими управляют. Отсюда и результат - в тогдашнем руководстве страны и ищите.

 

Ваше упорство непонятно. Если считаете, что знаете лучше, зачем спрашиваете? Делайте, как знаете - ответственность всегда Ваша.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А потому, что когда таким как Вы дают правильный совет, то они не слушают.

Я за всю промышленность не отвечаю. А то, что я делал и делаю - так не Вам об этом судить!!!

 

Ну от чего же, я все советы слушаю, и Ваши, и других уважаемых людей. Только я не пойму, с чего это Вы считаете, что ВАШИ советы ПРАВИЛЬНЫЕ? Вы ведь даже свои собственные реплики не изволите объяснять. Как то:

 

 

 

Здесь надо уметь нечто другое.

И этому надо учиться.

 

Что именно надо уметь сдесь?

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Работать то оно может и будет, но сама Altera не рекомендует использовать логику для формирования задержек, следовательно ничего хорошего из этого не выйдет. Как минимум нужно будет анализировать реализацию на уровне макроячеек компилятором. Проблема в том, что схема нарисованная в графическом редакторе может быть совсем по другому реализованна оптимизирующим компилятором, соответственно прогнозировать результат очень сложно. К тому же, как я понял этот сигнал будет использоваться как тактовый, что есть плохо, так как для этих целей оптимально использовать Global Clock, который обеспечивает минимальную задержку "синхросигнал-выход", т.е выиграш на удвоении частоты может быть потерян за счет увеличенных задержек. Это только часть проблем, разберись с архитектурой ПЛИС, которые используешь, и ситуация больше прояснится.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Работать то оно может и будет, но сама Altera не рекомендует использовать логику для формирования задержек, следовательно ничего хорошего из этого не выйдет.

Работать будет. Ничего страшного в удвоителе частоты нет.

Как минимум нужно будет анализировать реализацию на уровне макроячеек компилятором.

Зачем?

Проблема в том, что схема нарисованная в графическом редакторе может быть совсем по другому реализованна оптимизирующим компилятором, соответственно прогнозировать результат очень сложно.

Гы :) lcell компилятор не при каких условиях не имеет права выкидывать. Кстати, схема, нарисованная в графике, компилятором оптимизируется точно также.

К тому же, как я понял этот сигнал будет использоваться как тактовый, что есть плохо, так как для этих целей оптимально использовать Global Clock, который обеспечивает минимальную задержку "синхросигнал-выход", т.е выиграш на удвоении частоты может быть потерян за счет увеличенных задержек.

Используй global. Т.е. просто подай выход удвоителя на global. И будет тебе щасстье :)

Это только часть проблем, разберись с архитектурой ПЛИС, которые используешь, и ситуация больше прояснится.
Изменено пользователем k0t

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

То KOT.

Действительно, схема эта будет работать. И сигнал с lcell на global можно и просто необходимо повесить, иначе уж точно работать не будет, и нет никакой разницы, что в графике, что на языке описать. Вот только делать так не надо. Тем более рекомендовать такие реализации начинающим пользователям. Потому что толку в этих извратах нет. А у профессиональных пользователей как Вы уже убедились, такое художество вызывает справедливый отпор.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

То KOT.

Действительно, схема эта будет работать. И сигнал с lcell на global можно и просто необходимо повесить, иначе уж точно работать не будет, и нет никакой разницы, что в графике, что на языке описать.

Необходимость подвески на глобал - зависит ИМХО от дизайна. Может быть, скажем мультиклоковый дизайн, так что, каждый клок на глобал подвешивать? А если глобалов не хватит? :) (Надумано, конечно. Сам ни разу такого не видел.)

Вот только делать так не надо. Тем более рекомендовать такие реализации начинающим пользователям. Потому что толку в этих извратах нет.

Почему же нет? Далеко не всегда есть возможность использовать ПЛЛ. В тех же циклонах он при отрицательных температурах не работает. Или в ACEX, где входной клок для -2 всего-то 40MHz, а сама микросхема работает до 200. Я лучше так умножу, чем по плате потяну 200MHz :). Надо просто соблюдать должную аккуратность. По поводу начинающих пользователей - думаю столь громогласный тред уже должен показать, что надо быть аккуратным в этом вопросе. К тому же сама по себе эта схема никакой опасности не несет.

А у профессиональных пользователей как Вы уже убедились, такое художество вызывает справедливый отпор.

Убедился. Только не понял почему. Я не вижу ситуации, в которой эта схема может не работать. И есть вопрос по поводу справедливости отпора. :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

И все таки Вы все смешали в кучу. Умножение на 2 с помощью элемента xor не имеет смысла. Достаточно работать по фронту и спаду входного клока (что тоже не есть хорошо). Но это глобальный клок.

Что касается циклона (его –20 градусов для pll), можно например задействовать I/O стандарт lvds и гоняйте что хотите. (Системный подход всегда дешевле, потому что оставляет возможность маневра).

Для меня главный критерий переносимость проекта из семейства в семейство, из пакета в пакет (я знаю разработчиков, которые держат и устаревшие версии, потому что в последующих не работает), соответствия результатов функционального моделирования с результатами временного в пределах глобального клока. Насчет мультиклоков. Конечно можно. Это у всех сплошь и рядом. И ни одного назначения в редакторе ассигнований.

А в отчет временного анализатора никто никогда не заглядывает. А половина даже не догадывается о его существовании. Так что если у Вас и работает ЭТО (за счет Вашего практического опыта и знаний), у других не будет работать по определению (конечно не умножитель на гличах, весь проект).

Ведь что получается. Сначало нашли запыленный 7000, а потом появляется желание из него Стратих выжать.

И самое главное. Мне кажется, любой цели нужно добиваться стандартными рекомендованными в толстом хендбуке фирмы изготовителя средствами . Потому что на всякого мудреца довольно простоты.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

И все таки Вы все смешали в кучу. Умножение на 2 с помощью элемента xor не имеет смысла. Достаточно работать по фронту и спаду входного клока (что тоже не есть хорошо). Но это глобальный клок.

Можно. Мне это просто гораздо удобнее сделать так. Да, еще инверсия клока введет задержку, которая вполне может сказаться.

Что касается циклона (его –20 градусов для pll), можно например задействовать I/O стандарт lvds и гоняйте что хотите. (Системный подход всегда дешевле, потому что оставляет возможность маневра).

Не всюду LVDS есть... К тому же, если есть возможность не гонять :), то лучше не гонять.

Для меня главный критерий переносимость проекта из семейства в семейство, из пакета в пакет (я знаю разработчиков, которые держат и устаревшие версии, потому что в последующих не работает),

Ну, есть подозрение, что переносимости это не ухудшит. По крайней мере в сторону более быстрых/толстых кристаллов.

соответствия результатов функционального моделирования с результатами временного в пределах глобального клока.

Насчет мультиклоков. Конечно можно. Это у всех сплошь и рядом. И ни одного назначения в редакторе ассигнований.

Тут уж каждый злобный буратино сам себе враг :) Хотя если куски проекта никак не связаны - то почему бы и нет?

А в отчет временного анализатора никто никогда не заглядывает. А половина даже не догадывается о его существовании. Так что если у Вас и работает ЭТО (за счет Вашего практического опыта и знаний), у других не будет работать по определению (конечно не умножитель на гличах, весь проект).

Есть такая беда.

Ведь что получается. Сначало нашли запыленный 7000, а потом появляется желание из него Стратих выжать.

:)) Вот еще баловаться.

И самое главное. Мне кажется, любой цели нужно добиваться стандартными рекомендованными в толстом хендбуке фирмы изготовителя средствами . Потому что на всякого мудреца довольно простоты.

Иногда выбора нет. Я же не агитирую использовать такой умножитель вместо ПЛЛ. Я говорю о том, что если ПЛЛ нет, то это является вполне допустимым решением.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...