Перейти к содержанию
    

Моделирование NIOS в QuestaSim

Здравствуйте! Хочу провести симуляцию проекта в QuestaSim. Но после запуска Questa не могу добавить интерисующие меня сигналы всвязи с их отсутствием.

Делаю все по шагам, описанным в AN351:

 

1) В Quartus 14.0 создаю визардом новый проект.

2) Открываю Qsys и создаю систему, включающую nios, pio и on-chip ram.

3) Генерирую файлы testbench: Generate->generate TB system(Simple + verilog)

4) Открываю eclips и создаю новый проект, указываю путь к квесте и путь к файлу .sopsinfo

5) Создаю main.c c текстом программы, собираю проект (build project)

Текст программы:

#include "system.h"
#include "altera_avalon_pio_regs.h"

int main ()
{
       int leds = 0x1;
       int i = 0;
       while(1)
       {
           IOWR_ALTERA_AVALON_PIO_DATA(PIO_0_BASE, leds);
           for (i=0; i<10000; i++);
           if (leds<255)
           {
             leds ++;
           }
           else
               leds = 0;

       }
       return 0;
}

 

6) Запускаю квесту: Run as->Nios II modelsim

7) В поиске ищу сигнал clock и pio, но они отсутствуют

 

Может быть я делаю что-то упускаю из виду?

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здравствуйте! Хочу провести симуляцию проекта в QuestaSim. Но после запуска Questa не могу добавить интерисующие меня сигналы всвязи с их отсутствием.

Делаю все по шагам, описанным в AN351:

 

1) В Quartus 14.0 создаю визардом новый проект.

2) Открываю Qsys и создаю систему, включающую nios, pio и on-chip ram.

3) Генерирую файлы testbench: Generate->generate TB system(Simple + verilog)

4) Открываю eclips и создаю новый проект, указываю путь к квесте и путь к файлу .sopsinfo

5) Создаю main.c c текстом программы, собираю проект (build project)

Текст программы:

#include "system.h"
#include "altera_avalon_pio_regs.h"

int main ()
{
       int leds = 0x1;
       int i = 0;
       while(1)
       {
           IOWR_ALTERA_AVALON_PIO_DATA(PIO_0_BASE, leds);
           for (i=0; i<10000; i++);
           if (leds<255)
           {
             leds ++;
           }
           else
               leds = 0;

       }
       return 0;
}

 

6) Запускаю квесту: Run as->Nios II modelsim

7) В поиске ищу сигнал clock и pio, но они отсутствуют

 

Может быть я делаю что-то упускаю из виду?

 

если у вас есть отладочная плата то можно по подобию в документе сделать. сам пробовал промоделировать в моделсиме готовый проект для отладочной платы, но не смог. способом как написано в документе прикрепленном получилось

an398.pdf

Изменено пользователем x66

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

если у вас есть отладочная плата то можно по подобию в документе сделать. сам пробовал промоделировать в моделсиме готовый проект для отладочной платы, но не смог. способом как написано в документе прикрепленном получилось

К сожалению платы пока нет

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

К сожалению платы пока нет

 

У меня например была проблема с подключением библиотек (у меня был по сути сложный проект), когда вручную добавлял все, начинал ругаться на адреса.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Когда проект запускаю под Modelsim AE моделирование идет, а через квесту нет.

Как вы думаете в чем может быть причина.

PS:Библиотеки для квесты я компилировал через квартус, а потом в файле modelsim.ini прописывал вручную.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Всем спасибо за помощь!

Проблема решилась снятием галочки Compile->Compile options->use vopt flow в QuestaSim.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...