Перейти к содержанию
    

Cadence INCISIVE

Доброго времени суток уважаемые форумчане! Впервые столкнулся с этим зверьком поэтому не пинайте плз :maniac:

Вопрос следующего плана: в общем на команду вида ncvlog -f listOfFiles.f сыпит следующий лог:

ncvlog: 14.10-p001: © Copyright 1995-2014 Cadence Design Systems, Inc.
ncvlog: *W,DLNOCL: Unable to find a 'cds.lib' file to load in.
ncvlog: *F,WRKBAD: logical library name WORK is bound to a bad library name 'worklib'.

 

Просто мне дали кусок кода со скриптами запуска и прочее, и мне надо свою часть синтегрировать . "Но как тут ехать ежели она не заводиться" . Скажу сразу что каденс у нас серверный и этот скрипт у соседа запускаеться. :wacko:

 

Нашел правда вот такую фразу от каденса вот здесь:

% ncvlog board.v
ncvlog: v03.40.(p002): © Copyright 1995 - 2001 Cadence Design Systems, Inc.
ncvlog: *W,DLNOCL: Unable to find a ’cds.lib’ file to load in.
ncvlog: *F,WRKBAD: logical library name WORK is bound to a bad library name
’worklib’.
The DLNOCL warning occurs when the tool could not find a cds.lib file using the search
order specified in the setup.loc file.
The WRKBAD error occurs when the work library is defined in the hdl.var file (for example,
DEFINE WORK worklib), but the cds.lib file does not define the corresponding library
(for example, DEFINE worklib ./worklib).

 

Но в итоге запутался еще сильнее ведь ежели у соседа работаеть, мы пользуемся одной и той же программой, то получаеться что-то не так с моим окружением, кстати вот переменные

export PATH=$PATH:/eda/cadence/INCISIVE141/kits/VerificationKit/bin:/eda/cadence/INCISIVE141/bin:/eda/cadence/INCISIVE141/tools/bin:/eda/cadence/INCISIVE141/tools/systemc/gcc/bin
INSTALL_DIR=/eda/cadence/INCISIVE141
SPECMAN_HOME=/eda/cadence/INCISIVE141/specman
SOCV_KIT_HOME=/eda/cadence/INCISIVE141/kits/VerificationKit
SPECMAN_PATH=/eda/cadence/INCISIVE141/kits/VerificationKit/soc_verification_lib/e_ex_lib:/eda/cadence/INCISIVE141/kits/VerificationKit/soc_verification_lib/abv_formal:/eda/cadence/INCISIVE141/kits/VerificationKit/util_lib:/eda/cadence/INCISIVE141/kits/VerificationKit/misc/links:/eda/cadence/INCISIVE141/kits/VerificationKit:/eda/cadence/INCISIVE141/kits/VerificationKit/ovm_ex_lib/e_ex_lib:/eda/cadence/INCISIVE141/kits/VerificationKit/misc:/eda/cadence/INCISIVE141/kits/VerificationKit/soc_verification_lib/uvm_e_ex_lib/interface_uvc_lib:/eda/cadence/INCISIVE141/kits/VerificationKit/soc_verification_lib/uvm_e_ex_lib/apb_subsystem:/eda/cadence/INCISIVE141/kits/VerificationKit/soc_verification_lib/uvm_e_ex_lib
VMANAGER_PATH=/eda/cadence/INCISIVE141/kits/VerificationKit/soc_verification_lib:/eda/cadence/INCISIVE141/kits/VerificationKit/soc_verification_lib/e_ex_lib/cdn_vm_ext/e
NCPROTECT_KEYDB=/eda/cadence/INCISIVE141/kits/VerificationKit/misc/ip_keys
KIT_SETUP_SAVE=/eda/cadence/INCISIVE141/kits/VerificationKit
DMS_DESIGN=/eda/cadence/INCISIVE141/kits/VerificationKit/designs/socv/ams
[email protected]

 

Большая просьба подскажите куды копать!!!!

 

P.S.: Ну и чтобы не плодить тем в добавок как вывести отчет в консоль по кавергруппе которую описываю в СВ класе, чтобы детально по бинам было...

 

Заранее спасибо!!!

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

для того чтоб работало без cds команда

ncverilog

в новых версиях

irun

они имеют похожие ключи, но если что-то переносите, то лучше первую

 

если ncvlog, то используется три комманды ncvlog/ncelab/ncsim

объяснять эту мутную кухню не хочу

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

в первом сообщении ncvlog говорит что у вас нет файла cds.lib в той директории откуда вы запускаете ncvlog (это предупреждение)

и также что не определена рабочая библиотека WORK.

чтобы все работало каталог из которого вы запускаете симулятор должен содержать два файла cds.lib и hdl.var

вот вам мои для примера

также создайте в рабочем каталоге где будут лежать эти два файла директорию worklib

в файле hdl.var замените строчку SOFTINCLUDE $AMSHOME/inca/files/hdl.var

на SOFTINCLUDE $INSTALL_DIR/inca/files/hdl.var

после этого наберите команду ncvlog, если все ок запустится окошко программы(или nclaunch, точно уже не помню, ncvlog вроде консольная программка).

Залез в хелп посмотрел:

ncvlog (compilation)

ncelab (elaboration: expansion and linking)

ncsim (simulation)

если запустите nclaunch то то-же самое можно сделать для проекта нажимая на кнопки.

cad_file.zip

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...