Перейти к содержанию
    

Вопросы по xilinx platform studio

Добрый день.

 

Нужно сгенерить систему из axi интерконнекта и мастеров, слейвов. В общих чертах как это сделать я разобрался. Но дьявол кроется в деталях)))

Подскажите, пожалуйста, следующие вещи:

 

1) Порекомендуйте вменяемую доку на сей САПР, что то на сайте хилых найти ничего не могу

 

2) При генерации пустого проекта нам предлагается выбрать микроблейз. В дальнейшем я его выкидываю. Стоит ли выкидывать clock generator из проекта и просто сделать вход тактовый внешним?

Выкидывать блок сброса, я так понимаю, стоит?

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Добрый день.

 

Нужно сгенерить систему из axi интерконнекта и мастеров, слейвов. В общих чертах как это сделать я разобрался. Но дьявол кроется в деталях)))

Подскажите, пожалуйста, следующие вещи:

 

1) Порекомендуйте вменяемую доку на сей САПР, что то на сайте хилых найти ничего не могу

 

2) При генерации пустого проекта нам предлагается выбрать микроблейз. В дальнейшем я его выкидываю. Стоит ли выкидывать clock generator из проекта и просто сделать вход тактовый внешним?

Выкидывать блок сброса, я так понимаю, стоит?

 

1. Из доков стоит начать с кнопки "лампочки", а также Help-меню.

2. А чем вам мешает microblaze? Если вы хотите создать проект без процессора, но со своим мастером, то сделать это удобно через IP-wizard в EDK, там же и slave-ядра сделаете.

Clockgenerator - это всего лишь пара DCM удобно оформленная в корку, если вам он не нужен, делайте DCM в коде или городите свой велосипед. Компонент reset удобен для создания последовательности сбросов, никто не заставляет использовать все его возможности, в любом случае все можете сделать сами, но если вы будете использовать больше стандартных компонентов, то ваш код будет проще понять вашим последователям.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

спасибо!

 

еще вопрос:

 

вот я сгенерил корку, добавил из вкладки utility axi external master connector и slave connector, дальше я во вкладке ports делаю порты внешними, у меня они автоматом генерятся как S00_S_AXI_AWADDR_pin, к примеру. А как сделать так, чтоб автоматом генерилось S00_AXI_AWADDR, можно конечно ручками переделать, но что-то многовато править...?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

спасибо!

 

еще вопрос:

 

вот я сгенерил корку, добавил из вкладки utility axi external master connector и slave connector, дальше я во вкладке ports делаю порты внешними, у меня они автоматом генерятся как S00_S_AXI_AWADDR_pin, к примеру. А как сделать так, чтоб автоматом генерилось S00_AXI_AWADDR, можно конечно ручками переделать, но что-то многовато править...?

Боюсь, что никак. Вообще с Xilinx такого хватает, очень многое, что он генерит нужно править ручками. Привыкайте.

Но, чтобы это не стало головной болью, проще привыкать к их названиям и структурам файлов. Так будет меньше правок.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

вот я сгенерил корку, добавил из вкладки utility axi external master connector и slave connector, дальше я во вкладке ports делаю порты внешними, у меня они автоматом генерятся как S00_S_AXI_AWADDR_pin, к примеру. А как сделать так, чтоб автоматом генерилось S00_AXI_AWADDR, можно конечно ручками переделать, но что-то многовато править...?

Если это XPS, то можно в текстовом редакторе найти и заменить "_pin" на "" в файле с расширением *.mhs. Только будьте осторожны, перепроверьте или лучше забекапьте.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...