tafkos 0 27 октября, 2004 Опубликовано 27 октября, 2004 · Жалоба Столкнулся проблемой понимания различными средами синтеза и моделирования конструкций языка Verilog стандарта 2001 (IEEE 1364-2001): Например, 1) объявление рекурсивных функций ключевым словом automatic. Причем Active-HDL 6.2 точно не понимает automatic, а Cadence NC-Verilog 5.2 понимает. 2) поддержка конструкции языка generate, которая позволяет дублировать регулярно повторяющиеся куски кода. Тут был модуль, в котором надо было 128 раз по 6 строк написать, причем менялись тока номера битов в регистрах, ох как бы эта конструкцция пригодилась, но ни одна среда, которой я пользовался, ее не поддерживает :( Поэтому возник вопрос какие среды поддерживают стандарт Verilog 2001 наиболее полно? Вообще существуют такие или нет? Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
druzhin 7 2 ноября, 2004 Опубликовано 2 ноября, 2004 · Жалоба ISE 6.x и Synplify 7.x поддерживают generate и always @(*). Про рекурсию - ISE не поддерживает, а синплифи не пробовал. Актив-хдл 6.2 веб не понимает generate, что очень плохо. Актив-хдл 6.3 не пробовал. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
MAZZI 1 7 декабря, 2004 Опубликовано 7 декабря, 2004 · Жалоба Попробовал Active-HDL 6.3 крякнутый, он прокомпилировал дизайн и написал: # Top modules: TB_AFBMASTER_MPC852T_v3. # Compile success 0 Errors 0 Warnings Analysis time : 0. # done asim -advdataflow TB_AFBMASTER_MPC852T_v3 # ELBREAD: Elaboration process. # ELBREAD: Elaboration time 0.0 . # asim: Stack memory: 32MB # asim: Retval memory: 32MB # KERNEL: Main thread initiated. # KERNEL: Kernel process initialization phase. # KERNEL: Time resolution set to 1ps. # ELAB2: Elaboration final pass... # ELAB2: Create instances ... # ELAB2: Fatal Error: ELAB2_0065 Generate for is not supported in designs with table of instances. # ELAB2: Last instance before error: / # KERNEL: Error: E8005 : Kernel process initialization failed. # Fatal error occurred during simulation initialization. По этой надписи я понял, что оператор generate for как то плохо поддерживается, это был проект с использованием многих generate for, и они представляли один огромный мукс, после ещё промоделировал мукс с generate for один, он нормально проглотился, теперь думаю может че не правильно описал... ModelSim на это дело не ругается. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться