Перейти к содержанию
    

Xilinx 10.1 схема памяти

Я студент 1-го курса. Нам дали задание написать курсовую и реализовать одну из схем на Xilinx 10.1 . Много времени ушло, чтобы просто сделать курсовую по моей теме. Теперь я застрял на реализации микросхемы на Xilinx. Открываю эту программу впервые, времени, чтобы разобраться с ней к сожалению нету. Через 2 дня сдача курсовых, а препод сказал, что без микросхем не примет работу.

Очень прошу помочь :help: :help: :help:

post-81574-1399197985_thumb.jpg

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Нормалек :) Пакет, который осваивать от 6 месяцев, знание языка, на синтаксис от месяца, и два дня до сдачи :)

А вот вопрос, реализовать микросхему надо в виде схемного ввода или на языке HDL. Если на языке, то какой язык нужен преподу, это надо знать прям "чичас".

Изменено пользователем silantis

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

не понимаю в чем проблема

Микросхемы 1533ИР38 представляют собой два четырехразрядных регистра D-типа с тремя устойчивыми состояниями на выходе.

1 минута работы

полное описание, включая таблицу истинности

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Нормалек :) Пакет, который осваивать от 6 месяцев, знание языка, на синтаксис от месяца, и два дня до сдачи :)

А вот вопрос, реализовать микросхему надо в виде схемного ввода или на языке HDL. Если на языке, то какой язык нужен преподу, это надо знать прям "чичас".

Он просил на языке VHDL

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Он просил на языке VHDL

 

Так мы тянуть будем все задание за язык, или Вы его приведете полностью. Описание на языке может быть behavioral или structural.

Если я напишу то, которое мне нравится, Вы потом скажете "ой извините,а вот тута написано что надо по другому".

Поэтому выкладывайте сюда весь документик "семестр" иначе так и будем кота тянуть..

Проект нужен под какой чип? Синтез или только симуляция? Симуляция значит тестбенч, нужен или нет.

 

Тут уже было такое извините безобразие. Вылез студент, голову морочил три дня "срооочннооо памагиииттеее" потом выложил пример того, как они решали подобные на лабах, все получилось, а до этого был просто какой то никанец.

Выкладывайте заодно готовый проект образец курсача под какую нибудь микруху, у Вас должен быть такой. Снимет 99% вопросов.

Изменено пользователем silantis

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Так мы тянуть будем все задание за язык, или Вы его приведете полностью. Описание на языке может быть behavioral или structural.

Если я напишу то, которое мне нравится, Вы потом скажете "ой извините,а вот тута написано что надо по другому".

Поэтому выкладывайте сюда весь документик "семестр" иначе так и будем кота тянуть..

Проект нужен под какой чип? Синтез или только симуляция? Симуляция значит тестбенч, нужен или нет.

 

Тут уже было такое извините безобразие. Вылез студент, голову морочил три дня "срооочннооо памагиииттеее" потом выложил пример того, как они решали подобные на лабах, все получилось, а до этого был просто какой то никанец.

Выкладывайте заодно готовый проект образец курсача под какую нибудь микруху, у Вас должен быть такой. Снимет 99% вопросов.

Готового проекта образца курсача нам препод не дал.

Он говорил просто выполнить симуляцию. Четких правил выполнения он не указал.

Напишите плз этот проект на языке VHDL. Остальное можете выбирать сами.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Где это на первом курсе изучают VHDL? В моем вузе VHDL изучали на пятом, и то только магистры.

В магистратуру я не попал и сейчас самостоятельно изучаю VHDL.

 

Для синтеза код такой:

library ieee;
use ieee.std_logic_1164.all;

entity IR38 is
    port (C : in std_logic;
            D : in std_logic_vector(3 downto 0);
            R_n : in std_logic;
            EZ_n : in std_logic;
            Q : out std_logic_vector(3 downto 0));
end IR38;

architecture Behavioral of IR38 is
    signal data : std_logic_vector(3 downto 0) := (others => '0');
begin
    process (C, R_n)
    begin
        if R_n = '0' then
            data <= (others => '0');
        elsif C'event and C = '1' then
            data <= D;
        end if;
    end process;
    Q <= data when EZ_n = '0' else (others => 'Z');
end Behavioral;

Надеюсь, что ничего не напутал.

 

Простейший тестбенч на тикле: http://pastebin.com/HvCueyBH

 

h_1399209039_4335345_52dd042de5.png

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Где это на первом курсе изучают VHDL? В моем вузе VHDL изучали на пятом, и то только магистры.

В магистратуру я не попал и сейчас самостоятельно изучаю VHDL.

 

Для синтеза код такой:

library ieee;
use ieee.std_logic_1164.all;

entity IR38 is
    port (C : in std_logic;
            D : in std_logic_vector(3 downto 0);
            R_n : in std_logic;
            EZ_n : in std_logic;
            Q : out std_logic_vector(3 downto 0));
end IR38;

architecture Behavioral of IR38 is
    signal data : std_logic_vector(3 downto 0) := (others => '0');
begin
    process (C, R_n)
    begin
        if R_n = '0' then
            data <= (others => '0');
        elsif C'event and C = '1' then
            data <= D;
        end if;
    end process;
    Q <= data when EZ_n = '0' else (others => 'Z');
end Behavioral;

Надеюсь, что ничего не напутал.

 

Простейший тестбенч на тикле: http://pastebin.com/HvCueyBH

 

h_1399209039_4335345_52dd042de5.png

можно ли с вами как-нибудь связаться ?? есть пару вопросов в личку

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Только сейчас заметил, что тестбенч неправильный - нужно сдвинуть тактовый сигнал.

 

можно ли с вами как-нибудь связаться ?? есть пару вопросов в личку

 

Да, все равно я сейчас бездельничаю.

Для новичков тут личные сообщения отключены, так что отправь на [email protected] аську/скайп/джаббер.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Разочарован. Всеми.

 

ЗЫ. Еще немного постов в направлении "Напишите плз этот проект на языке VHDL." и тема пойдет в раздел "Предлагаю работу" (с) Модератор

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

сообщение участникам, у которых Вы хотите спросить совета.

 

Участникам можно написать и по почте, которая указана в личной карточке... Бесплатных почтовых серверов полно и это не проблема...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2Warcheber:

Подскажите пожалуйста название ВУЗа, в котором на первом курсе задают такие курсовые.

Заранее спасибо!

 

2des00:

+1

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...