Перейти к содержанию
    

Здравствуйте!

Подскажите словом.

 

Освоил AVR, копаюсь (пока ещё не уверенно) в STM32. Хочу освоиться в работе с ПЛИС. Подбираю себе простой учебный набор на время обучения. Может, буду использовать в перспективе.

Основное требование: быстрота старта и куча учебных примеров.

 

После некоторых поисков, остановился на базе Altera:

1) MiniDiLab-CIV

http://www.altera.ru/postavka-MiniDiLab-CI...#33;prettyPhoto

Подкупила наличием полного учебного курса, причем на Русском языке (в английском я туг - моя беда).

Так же прилагается некоторый учебный материал ввиде лекций. Т.е. полное введение в ПЛИС гарантировано.

Среда программирования в комплекте. Программатор (Бластер) вроде как встроен.

Функционал малёк. Ресурсы чипа невелики.

Обещают поддержку.

 

2) DE0-Nano

http://www.terraelectronica.ru/news_postup.php?ID=2624

У этой чип помощнее. В несколько раз больше программируемых вентилей.

Вроде бы обвязки больше, больше функциональностей. Кажется, прилагается какой-то учебный комплект с наборами примеров. Больше пространства для фантазии, но мне кажется тяжеловато стартануть будет. Как говориться, осваивать в бою.Хоть всё на английском - разобраться найдутся силы, наверное. Но что идёт к ней в комплекте, ХЗ.

 

Знающие люди, подскажите со своей профессиональной точки зрения, с чего лучше стартануть?

Может есть ещё какие-нибудь варианты? (кроме самопала, FTDRL для меня тяжеловат был в пайке. Такое дома делаю не часто. Только затяжной проект.)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Альтера - это хороший выбор из-за дружественного интерфейса их программ

DE0 - платы поддерживаются альтерой, то есть при практически той же цене - гораздо больше возможностей

 

если волнует стоимость платы, то можно посмотреть на lattice

http://ru.mouser.com/ProductDetail/Lattice...X6iISI7M%2fE%3d

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здравствуйте!

Подскажите словом.

Я себе взял MiniDiLab-CIV. В принципе доволен. Есть порты в/в на которые можно вешать всякое, плохо то, что их мало.

Да, программатор встроенный, притом универсальный (чем меня эта плата и подкупила), т.е. может программировать как микросхему на плате, так и внешнюю, + микросхему на плате можно программировать и внешним программатором.

Вот здесь я провёл небольшую демонстрацию работы:

http://www.youtube.com/watch?feature=playe...oQQH8lj6JyQ#t=5

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здравствуйте!

Подскажите словом.

 

Освоил AVR, копаюсь (пока ещё не уверенно) в STM32. Хочу освоиться в работе с ПЛИС. Подбираю себе простой учебный набор на время обучения. Может, буду использовать в перспективе.

Основное требование: быстрота старта и куча учебных примеров.

 

ПЛИС - это не микроконтроллер. И изучают их по-другому...

для изучения ПЛИС - стартовый набор - совсем не главное. И начинать нужно не с него, а с изучения языка описания и с симулятора. А вот когда поймете, что такое проект, какие проекты Вам понадобятся, вот только тогда и будете покупать железку...

А программные инструменты можно для начала поставить бесплатные...

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

О! как быстро:) Спасибо всем откликнувшимся.

 

ПЛИС - это не микроконтроллер. И изучают их по-другому...

для изучения ПЛИС - стартовый набор - совсем не главное. И начинать нужно не с него, а с изучения языка описания и с симулятора. А вот когда поймете, что такое проект, какие проекты Вам понадобятся, вот только тогда и будете покупать железку...

А программные инструменты можно для начала поставить бесплатные...

 

Ну. Я по роду деятельности порой автоматизацией занимаюсь. Изучать функции ПЛК не имея самого ПЛК, поверьте - интереса никакого. Когда есть стенд и работа идёт веселей.

 

Я себе взял MiniDiLab-CIV.

 

Спасибо за материальчик. Уже смотрю. Про программатор не знал - полезная фича!

Интересный материал. Тоже склоняюсь сначала его. Как лучше разбираться стану, можно, наверное и пожирче.

А как плата в плане качества изготовления?

Со связью со средой программирования проблемы не возникли?

Драйвера встали?

Изменено пользователем di4zerus

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ну. Я по роду деятельности порой автоматизацией занимаюсь. Изучать функции ПЛК не имея самого ПЛК, поверьте - интереса никакого. Когда есть стенд и работа идёт веселей

 

Еще раз... ПЛК - это железка на основе готового вычислителя...

А ПЛИС - это совсем другое. И возня с железом от всего проекта - меньше 25%... Потому еще раз говорю, что технология изучения микроконтроллеров в ПЛИС не работает...

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2iosifk,

Хоть и не совсем с Вами согласен, воспользуюсь советом. Прежде чем заказать что-либо, естественно покопаюсь в литературе. В примерах уже пытался ковыряться (с сайта marsohod). А осваивать ПЛИС надо, железяку всё же закажу, как выберу.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

...Тоже склоняюсь сначала его. Как лучше разбираться стану, можно, наверное и пожирче...

MiniDiLab-CIV (Cyclone IV EP4C6E22C8N):

  • $145;
  • 6K LE;
  • SDRAM - нет;
  • SRAM 256k*16.

Лучше посмотрите в сторону Arrow BeMicro CV (Cyclone V 5CEFA2F23C8N):

  • с доставкой $80 или $110;
  • 25K LE;
  • в 6 раз больше внутренней памяти, чем у EP4C6E22C8N;
  • 25 Variable-precision DSP Block;
  • аппаратный мультипортовый контроллер DDR3;
  • SDRAM DDR3 64Мбит*16.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Arrow действительно подешевле. Что привлекает. А как у них с учебным материалом? Боюсь завязнуть на начальных этапах.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

... А как у них с учебным материалом? Боюсь завязнуть на начальных этапах.

В теме Cyclone V + DDR3 Hard Memory Controller есть проект для BeMicro CV. Посмотрите, разберитесь B)

Даже, если он будет слишком сложен для Вас, данный проект можно использовать при портировании простых примеров на этот кит.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А осваивать ПЛИС надо, железяку всё же закажу, как выберу.

Для каких дел Вам нужны ПЛИС?

Что касается предлагаемой здесь дешевой платки, то после того, как помаргаете на ней светодиодом либо ее выкините через неделю, либо будете себя ругать, что зря потратили деньги... Ну, либо придется еще докупать или допаивать...

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Спасибо за материальчик. Уже смотрю. Про программатор не знал - полезная фича!

Интересный материал. Тоже склоняюсь сначала его. Как лучше разбираться стану, можно, наверное и пожирче.

А как плата в плане качества изготовления?

Со связью со средой программирования проблемы не возникли?

Драйвера встали?

Качество в целом годное, пока ни чего не отвалилось! ))

Программатор Квартусом распознаётся как родной. Драва в самом Квартусе лежат.

Или же вообще хардкорный вариант:

http://www.ebay.com/itm/EP4CE6-EP4CE6E22C8...=item3a7c552b2f

Эти же ребята и периферию всякую толкают:

http://www.ebay.com/itm/EP4CE10-ALTERA-FPG...=item3cd0021c16

 

Для каких дел Вам нужны ПЛИС?

Что касается предлагаемой здесь дешевой платки, то после того, как помаргаете на ней светодиодом либо ее выкините через неделю, либо будете себя ругать, что зря потратили деньги... Ну, либо придется еще докупать или допаивать...

Ну да, интерфейс на ней, мягко говоря, бедный. Зато есть лишний повод паяльником поработать. ) Ну и как обычным программатором можно пользоваться, а то фирменный 300 баксов стоит.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ну да, интерфейс на ней, мягко говоря, бедный. Зато есть лишний повод паяльником поработать. ) Ну и как обычным программатором можно пользоваться, а то фирменный 300 баксов стоит.

Клоны уже давно по 50 продаются... А LPT-карта вообще гроши стоит... И на 2 долл самопал к ней... Так что не будем...

А вот это - " есть лишний повод паяльником поработать" вообще полная ерунда и к ПЛИС отношения не имеет... Вы что, "паяльником" PCIe сделаете?

 

Правда, если цель "старта" - это елочные гирлянды, то тогда оно конечно можно и "паяльником"...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Не вижу решительно никакого смысла в Evaluation на начальном этапе без, даже минимальной, цели. Нужно изучить схемотехнику ПЛИС, понять какие возможности ПЛИСы предоставляют. Изучить HDL, понять связь между конструкциями языка и реализацией в ПЛИС. Разобраться с пакетами ПО: построением проекта, моделированием, синтезом, созданием constrain'ов, связь с Матлабом.

 

Вот когда будут идеи, что захочется реализовать, хотя бы из какой области: DSP, видео, звук, интерфейсы и т.д. - вот тогда и стоит обратить внимание на платы. Уже будет понятно, сколько хотя бы примерно ресурсов требуется, какие аппаратные ядра нужны, какая периферия: LCD экран, DVI, SATA, PCIe, сколько и какой внешней памяти, ЦАП, АЦП (или возможность поставить модуль в формате FMC), гигабитные трансиверы (и какого типа порты), USB, многое другое.

 

Иначе, с большой вероятностью, выкинутые деньги. Я бы их отложил на оригинальный JTAG кабель - пользы в будущем больше. :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Клоны уже давно по 50 продаются... А LPT-карта вообще гроши стоит... И на 2 долл самопал к ней... Так что не будем...

А так ещё какая-никакая, а плиска в комплект идёт. Ну да, пожалуй не будем...

 

А вот это - " есть лишний повод паяльником поработать" вообще полная ерунда и к ПЛИС отношения не имеет... Вы что, "паяльником" PCIe сделаете?

Как по мне, начинать изучение ПЛИС с проектирования PCIe это перебор. А вот поиграться с кнопочкой, 7-ми сегментный индикатор поджечь, для новичка - в самый раз.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...