Перейти к содержанию
    

... чем самому разрабатывать загрузку FPGA через CY7C68013A.
Если надумаете. Мы загружаем Cyclone II используя Timer 2 CY7C68013A в синхронном режиме с частотой CLKOUT/4. Получается самый быстрый способ загрузки Altera.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

У CY7C68013A отладка через последовательные порты. AD вывела их на разъемы в этом ките?

нет к сожалению юарт висит в воздухе. значит внутрь процессора никак не заглянуть?

 

В сторону VisualAnalog™. По-моему, гораздо проще добавить в эту программу свой файл загрузки FPGA, чем самому разрабатывать загрузку FPGA через CY7C68013A.

 

не знаю, так не получилось. программа эта стоИт. загружал свою прошивку-не работает. смущает то что у них там файл бинарный, а у меня прошивочный файл плис- .bit

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

не знаю, так не получилось. программа эта стоИт. загружал свою прошивку-не работает. смущает то что у них там файл бинарный, а у меня прошивочный файл плис- .bit

В настройках Generate поставку галочку Create Binary и будет вам счастье :yeah: . bit от bin отличается только заголовком в bit, который в FPGA пихать не надо.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

нет к сожалению юарт висит в воздухе. значит внутрь процессора никак не заглянуть?

Можно приклеить или прикрутить к модулю свой разъем и вывести на него последовательные порты. Всего то, нужно припаять 5 связей.

Но, правильный путь - разобраться с VisualAnalog™.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В настройках Generate поставку галочку Create Binary и будет вам счастье :yeah: . bit от bin отличается только заголовком в bit, который в FPGA пихать не надо.

все равно плис не работает. должна диодом мигать а не мигает. (специально написал лажовый пример для проверки)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

сторону VisualAnalog™. По-моему, гораздо проще добавить в эту программу свой файл загрузки FPGA, чем самому разрабатывать загрузку FPGA через CY7C68013A.

Я не уверен, что получится наладить связь ПЛИС-EZUSB не трогая прошивку процессора.. Так что скорее все равно придется закачивать в него свой hex. и visual analog там уже не поможет

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...