Перейти к содержанию
    

megafunction

Доброго времени суток.

 

Подскажите, где можно почитать про подключение альтеровских megafunction в modelsim.

Библиотеки в Quartus 11 скомпилировал, скопировал в c:\altera\modeltech_10.1c.

Добавил их в modelsim.ini по образу и подобию тех, которые там есть.

Сгенерированные мегавизордом файлы для megafunction скопировал в рабочий проект в modelsim.

 

При компиляции проекта в modelsim выдаётмся ошибка Module 'lpm_mult' is not defined.

 

Спасибо.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Подскажите, где можно почитать про подключение альтеровских megafunction в modelsim.

 

Не совсем понятна задача: вы собираетесь промодулировать мегафункции в моделсиме без проекта?

Если вы включите их в како-нибудь тестовый проект, то сможете в моделсиме просмотреть их поведение.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

При запуске моделирования из Quartus Run EDA Simulation Tools->EDA Simulation Tools запускается modelsim, который начинает загружать библиотеки, скомпилированные в Quartus, что занимает время.

Можно ли сделать так, что бы в modelsim эти библиотеки были сразу, т.е. не загружались бы каждый раз по-новому?

 

Спасибо.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

При запуске моделирования из Quartus Run EDA Simulation Tools->EDA Simulation Tools запускается modelsim, который начинает загружать библиотеки, скомпилированные в Quartus, что занимает время.

Можно ли сделать так, что бы в modelsim эти библиотеки были сразу, т.е. не загружались бы каждый раз по-новому?

 

Спасибо.

qurtus создаёт скрипт "название проекта и ешё кое что". do , в котором есть команды компиляции библиотек и исходников проекта. Этот скриппт можно запускать из консоли модельсима и, его можно отредактировать, убрав компиляцию ненужного.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Можно ли сделать так, что бы в modelsim эти библиотеки были сразу, т.е. не загружались бы каждый раз по-новому?

Можно. Для этого или используйте ModelSim Altera Edition (где они уже имеются в скомпилированном виде), либо используйте Quartus II EDA Simulation Library Compiler для компиляции библиотек (их там, кстати, можно компилировать не только под ModelSim), но в modelsim.ini добавляйте их сами.

Ну и смотрите предыдущий пост уважаемого slawikg.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здравствуйте.

Подскажите, зачем megafunction wizard генерирует два вериложных файла, например: PLL_CLK и PLL_CLK_bb. Первый - готовый модуль, а второй? Чем они отличаются и можно ли использовать их в не Quartus'овских проектах?

Спасибо

Изменено пользователем ZeratulSC007

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...