Перейти к содержанию
    

попытка создания спектроанализатора

Народ, для загрузки скопа в припаянную к спартану флеш, сдули у кого-то из сети и адаптировали под наш модуль(с изменением пинов и тактовой частоты) проект загрузчика флеш. загрузчик собрался и откомпилировался без проблем.Но не хочет грузиться в спартан из за этого сообщения:

WARNING:MapLib:701 - Signal RS232_RXD connected to top level port RS232_RXD has been removed.

 

Получается, что он отрубил линию загрузки в спартан прямо на входе, ссылаясь , что этот сигнал никуда не идёт.

Весь проект перерыли , но найти обрыв не смогли. Может ктось глянет из опытных, если не влом. :smile3046:

 

то что трассировщик ругается на ногу, вовсе не означает, что всего лишь только с этой ногой проблема. Рассмотрите technology view после синтезатора - наверняка похерилось несколько блоков в результате оптимизации)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 andreichk

Глянул одним глазом на проект - сложилось впечатление что у вас там нелады с инициализацией ваших примитивов типа LUT3 и так далее. Например ваш RS232_TX это вообще тригер который выводит константу, но имеет синхроный сброс.

Загнал в синплифай 2009, потом P&R ISE 13.2 - входной тракт так как описываете до stop_bit, дальше не смотрел. RS232_TX тоже не константу зашёлкивает, но у него какая то хитрая система с предустановкой. Так что думаю нужно ковырять эти ваши примитивы (коих у вас там море) и их инициализацию (после синтезатора там у вас кстати всё красиво - так что ещё один пункт за INIT).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

немного поковыряли сами и обнаружили следующее:

первоначально этот загрузчик расчитывался на такт.частоту 66МГц,

constant UARTDIV : std_logic_vector(5 downto 0) := "100011";

а мы решили изменить на 80 МГц и сделали так:

constant UARTDIV : std_logic_vector(5 downto 0) := "101010"; -- 43 / 80MH

так вот именно из за этого он и не компилится, но почему? какая ему разница?

 

Товарищи !!! Помогите кто чем может. Возникла идея добавить в проект модуль с применением ДЦМ и изменить частоту тактирования с 80 МГц до 66 МГц. Выглядит извращением конечно, но кв.генератора на 66 МГц нет и не предвидится.

На верилоге я бы сам справился, а на ВХДЛ не знаю как правильно описать сие действо....

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

проблема решена заменой кварца на на 60 МГц. пересчитал коэф. деления и весь проект и всё получилось !!!

 

constant UARTDIV : std_logic_vector(5 downto 0) := "100000"; -- 32 / 60MHz

 

Ура товарищи, спасибо за помощь и содействие... :disco:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

RROR:HDLCompilers:87 - "math.v" line 86 Could not find module/primitive 'log10'

Здравствуйте andreichk. Можно пару вопросов по первому осциллографу SED1335, PIC18F4685 ? Собрал я его, работает нормально, но - 1. Синхронизация не работает. 2. кнопки управления А, В, АВ, SPECTR - работают, остальные нет, при нажатии А^ - фиксируется изображение. 3. На одном канале сильно рисуются вертикальные линии при отсутствии сигнала на входе. Где примерно искать причину. Спасибо. С уважением Александр.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

мне кажется , что я уже писал, что не занимаюсь давно этим проектом, поэтому ничем помочь не могу.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

мне кажется , что я уже писал, что не занимаюсь давно этим проектом, поэтому ничем помочь не могу.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...