Перейти к содержанию
    

escmar

Участник
  • Постов

    100
  • Зарегистрирован

  • Посещение

Сообщения, опубликованные escmar


  1. Купил домой поиграться tang nano 4k, а к ней документации никакой

    На сайте продавца картинка с внешними подключениями, а например, на какую ногу клок с кварца родного прописывать, не видно.

    Продавец после моего вопроса в тину ушёл.

    Может скинет кто схему девайса или ссылку. Похоже, с подключениями вопросы ещё возникнут.

  2. 2 hours ago, des00 said:

     у вас пакетная передача с паузами. ИМХО петлевые схемы тут не очень работают.

    Да была такая мысль. Скорость небольшая, пакеты редко летят. В ПЛИС только первично причесать, а потом по DMA сгрузить в ARM или вообще в компьютер, а там неспеша разгребать.

    Петлевые схемы я в конце 80-х паяльником лудил, потому и попытался. Программирование для ARM или Дельфи для PC - только на любительском уровне, так что встрял немного.

     

  3. А всё же  по теме вопроса, на какие соотношения следует ориентироваться в схеме Костаса?

    des00 намекнул что полоса петли Костаса не превышает 10-15% ширины спектра. Буду считать что он имел в виду полосу пропускания ФНЧ квадратур.

    Что-то мне подсказывает, что полоса удержания может быть немного шире, а может и нет, в зависимости от злобности модуляции и помех.

    Вопрос, из чего исходить при выборе полосы петлевого фильтра? Стоит ли изголяться с его АЧХ, или как упоминалось, хватит скользящего среднего?

  4. 4 hours ago, quato_a said:

    используйте только значение полученной частоты и сносите сигнал с этой частоты, а потом уже ставьте следующим каскадом Костаса

    Да, сначала попробую только частоту подтянуть к полосе захвата. Там возможно, крутой кувырок придётся схеме Костаса делать, чтобы фазу подтянуть.

    Фазу измерять тоже заковыристо оказалось. Если длина БПФ вся заполнена полезными отсчётами, например 256, то велика ошибка измерения частоы, но фаза однозначно определяется. Если для повышения точности определения частоты, увеличиваю БПФ до 4096 с кучей нулей, то фаза как-то странно получается, точнее хреново. Пока только догадываюсь отчего, не проверял.

  5. 3 hours ago, quato_a said:

    а эти независимые каналы приходят от разных передатчиков? АРУ единый для всего приемного тракта?

    Здесь пока о действующем макете идёт речь. Регулировка усиления предусмотрена после входного МШУ, и первому аналоговому перемножителю можно рабочую точку двигать. Предусмотрел - и забил до поры - до времени. Пока разбираюсь с модуляцией. Там решу, чем усиление душить. Тоже заковыристая тема, если пакеты короткие и с разбросом уровня прилетают. Может разрядность увеличивать придётся, может ещё изврат какой...

  6. On 4/26/2021 at 9:20 PM, quato_a said:

    Грубо говоря, если поставить узкую палку мощностью +60 дБ больше вашего сигнала, отстоящую от вашего сигнала на 2-3 МГц, но находящуюся в полосе ПАВ, то АЦП просто уйдет в перегрузку и CIC с децимацией уже не помогут.

    Во общем -то я не для войны свой демодулятор делаю, а как промежуточный вариант.

    По условиям приёма, +60 не предвидится.

    Хотелось изловчиться и упестить несколько независимых цифровых приёмных каналов в одной полосе.

    Но ПАВ фильтры с полосой 350 кГц уже заказаны и едут.

  7. 2 hours ago, des00 said:

    Читал, но не совсем понял как вы получали пик. Да и про сигнал вы ничего не написали, если там голый BPSK, без каких либо ПСП и прочего, то при таких расстройках это гиблое дело. Только поиск частоты, а в качестве детектора захвата какие нибудь статистики высших порядков. Если в сигнале есть что-то детерминированное, что можно выделить на СФ/корреляторе и пропустить через скользящее фурье, то все определится базой и формой этого что-то и накоплением результатов.

    Симулинк, на примере моделей @petrov выложенных на форуме, осваивается дней за 5 максимум.

    О сигнале. Летят короткие пакеты длиной по несколько мс. В начале каждого, примерно на четверть длины нули, т.е. нет модуляции.

    Я складывал в буфер начало пакета, где нет модуляции, примерно 500 отсчётов, дописывал до 4096 нулями, и на бОльшей скорости прогонял через БПФ.

    На выходе преобразования после среднеквадратического из реальной и мнимой части, получался хорошо заметный пик. Номер отсчёта, на котором пик вылез - это частота. Арктангенс отношения im/re это фаза. Подсовывал полученные величины в NCO, и он генерировал почти то что мне нужно.

    Поскольку преобразование дискретное, реальный пик в общем случае оказывается между двумя осчётами, всегда была ошибка по частоте, которая не позволяла использовать эту парочку для демодуляции.

    Пробовал брать по три максимальных отсчёта, на лету пересчитывал их в параболу и уже в ней искал максимум. Уже где-то близко получалось, но устал, решил не умничать и взялся за Костаса. А тут своя засада...

    Кстати, про способ с БПФ нигде не читал. Может окажется я его придумал и мне картофельную медаль дадут? :acute:

    Что же, третий раз попробую за матлаб взяться. Посажу на цепь рядом студента - пусть учит дедушку.

  8. 3 hours ago, des00 said:

    Да хоть 5 дополнительных преобразований сделайте. Дополнительные вопросы я уже писал, но вы их похоже не заметили. У вас вопрос системный: нестабильность несущей больше ширины спектра. На типовых скруглениях, при BPSK ваши 30кБ/с, это 35-60КГц. А у вас перестройка +-40КГц. Костас, петля по решениям они все узкополосные. Порядка 10-15% от ширины спектра. А у вас 50-100%. При этом еще требования по избирательности, чувствительности, искажениям не описаны.

    Тут надо смотреть в сторону оценки частоты, а не петлевых схем. Возьмите все же симулинк.

    Да, я поверхностно изложил то как пытался решить вопрос при помощи схемы Костаса. Исправляюсь.

    Перед АЦП ПАВ фильтр с полосой пропускания 10МГц.

    Оцифровка на 30 мегавыборок, после АЦП подчищаю полосу цифровым КИХ фильтром и два 12-ти битных перемножителя.

    Опорные квадратуры делает альтеровский NCO. Частота задаётся извне, для перестройки внутри диапазона. Дополнительно у NCO объявил

    вход частотной модуляции, на который подал отсчёты с выхода петлевого фильтра.

    Теперь о фильтрах в каналах Q и I. Там сначала CIC с дециматором на 120, потом корректирующие КИХ фильтры с небольшим подъёмом у частоты среза.

    Получилась полоса пропускания в каждом канале около 80кГц, при 250-ти киловыборках. В отладчике (Signal Tap) квадратуры выглядят замечательно, почти без шерсти (при приёме реального пакета из эфира).

    А вот при подаче этих квадратур на перемножитель ФАПЧ, захвата не происходит. Вернее происходит, но в ооочень узкой полосе.

    Организовал дополнительно два КИХ фильтра без децимации, для подачи персонально на перемножитель ФАПЧ. Полоса пошире, и уже не такая прямоугольная.

    Захватывает, но только если входные пакеты жирные с векторного генератора подаю. Оно и понятно, в реальном сигнале помойка прилетит, всё испортит.

     

    Вы предлагаете использовать не петлевую схему, а оценку частоты. Так я с этого и начал (первый пост)...

    Подробно описал как определял частоту и фазу при помощи БПФ.

    Что же это делается! В два этапа крутить принятый пакет, сначала грубо настраивать квадратурный генератор при помощи БПФ, а потом замыкать петлю ФАПЧ?

    Симулинк говорят, удобно. Но голова пухнет от сочетания HFSS + Quartus + Altium

  9. 11 hours ago, quato_a said:

    Правильно понял, что при частоте дисретизации 30 МГц будет приходить сигнал полосой не более 60 кГц (2 символьной скорости) на любой из частот ПЧ в диапазоне частот 1-11 МГц? Если да, то у вас перед АЦП должен быть фильтр полосой на 11 МГц, хотя информационная полоса на много меньше. В таком случае вы сильно поднимаете шумовую полочку, чувствительность пострадает. Эффективнее, чтобы фильтр согласован на полосу информационную. А подстраиваться будете синтезатором несущей. 

    Да, перед оцифровкой ПАВ фильтр с полосой 10 МГц. Оцифровка в зоне Найквиста, получается 5-я.

    Чтобы не жевать весь фарш, после перемножителей CIC фильтры и компенсирующий КИХ. Вполне чисто получаются квадратуры на 250 киловыборок.

    Пробовал их подавать на перемножитель Костаса, всё плохо. Полагаю что из-за CIC фильтров.

    А так понимаю, что если не отфильровать квадратуры или полосу до перемножителей, ФАПЧ метаться будет туда-сюда.

    13 hours ago, des00 said:

    Она решается, но те такими простыми методами)

    Да понял уже что просто не получается.

    Склоняюсь прибавить ещё одно преобразование вниз для перестройки внутри 10МГц диапазона, его результаты фильтровать в полосе со снижением частоты выборок, а потом демодулятор с ФАПЧ Костаса.

    Как вариант, можно попробовать первое преобразование в квадратуры, но не знаю как поведёт себя ФАПЧ при метаниях между положительными и отрицательными частотами.

    Альтеровский NCO вроде адекватно работает при переходе через ноль...

     

    Не было куме печали - купила баба порося.

  10. Рискну потревожить затихшую тему.

    Пытаюсь "в лоб" сделать цифровой демодулятор BPSK на ПЛИС.

    Нужно чтобы он мог перестраиваться в диапазоне 1-11МГц, работать при символьной скорости 30 кбит/с.

    Но при этом изначальное отклонение частоты принимаемого сигнала от частоты настройки может составлять до +/- 40кГц.

    Из-за необходимости обеспечить широкий диапазон перестройки частоты, входной сигнал оцифровываю на 30М выборок.

    Пробовал сначала победить частотную расстройку при помощи БПФ. Придерживал входной поток в сдвиговом регистре,

    тем временем прогонял его через БПФ, находил в спектре амплитудный максимум, в паре с ним арктангенс отношения мнимой и действительной части,

    Полученным результатом заряжал NCO... и эта извращенческая конструкция ПОЧТИ работала. Не хватало малости, низкая точность измерения частоты

    при разумном числе отсчётов БПФ.

    Пробовал повысить точность применением аппроксимации по параболе, но работает нестабильно, бросил эту затею. Да и громоздко получается.

    Решил прекратить самоистязание и обратиться к ФАПЧ по схеме Костаса.

    То что полоса пропускания ФНЧ в каналах Q и I должна быть согласована с символьной скоростью, я понимаю.

    Довольно быстро добился захвата и сопровождения в полосе +/- 30кГц от частоты настройки NCO. Больше никак не удаётся.

    Поймал себя на мысли что методом проб и ошибок ведёт себя обезьяна с гранатой...

    Вопросы.

    Решается ли вообще задача, если символьная скорость сопоставима с начальной частотной расстройкой, или одно от другого не зависит?

    Какие соотношения между формой АЧХ петлевого фильтра и полосой захвата и удержания ФАПЧ?

    Просьба "по рабоче крестьянски" С матлабом не так чтобы не дружу, а совсем не дружу, не смог себя одолеть.

  11. Проверил на ките с 10M50DA***, заработало!

    Снова порылся в альтеровских документах, нашёл только что в "Compact" нет двух конфигурационных файлов и АЦП.

    Ну, ещё расплывчатые намёки...

    Спасибо что внесли ясность, буду искать SA.

  12. Здравствуйте

    Поисковиком ответа не нашёл, сам разобраться не смог.

    Суть вопроса. Квартус 15.1. Решил сделать на MAX10 (10M50SCE144I7G) обработку с использованием библиотечной IP функции FFT.

    При компиляции ругается: Current Internal Configuration mode does not support memory initialization or ROM. Select Internal Configuration mode with ERAM

    Аналогичный модуль на "Циклонах" с 3-го по 5-й работает без проблем.

    Из ругани Квартуса понял что не может разместить константы во встроенной RAM. Проверил на других вариантах, без платных IP, таже фигня.

    Стоит попытаться создать ROM, в ответ грязные ругательства.

    На сайте Альтеры нашёл в переписке подобный вопрос про Квартус 14 и обещание устранить трабл. Сам проверил ещё на 18-й версии, тоже не работает.

    Может решил кто?

  13. Здравствуйте.

    Пытаюсь в HFSS смоделировать дальнее поле относительно небольшой антенны, в главном лепестке ДН которой находится металлический предмет.

    Знаю что лучше и проще убрать мешающую железку, но всё-таки...

    Создавать полную модель нереально, поскольку антенна маленькая а вредная железка далеко, с десяток длин волны.

    В хелпе и книжках вычитал что можно смоделировать дальнее поле антенны в небольшом объёме а потом внедрить результат в виде Incident Wave / Far Field в большую модель.

    Вроде как в новом проекте надо создать границу Radiate и к ней приделать ссылку на проект, из которого надо взять это самое Far Field.

    Пока не получается.

  14. Здравствуйте

    Не смог разобраться сам.

    Использую "Квартус-15"

    Есть конструкция на Верилоге типа такой:

     

    always....

     

    a[10:0] <= b[9:0] * c[9:0];

     

    Компилирую для "Циклона-5", он подстёгивает аппаратный перемножитель.

    Когда переделал проект на "Макс-10", Квартус упорно поедает логические ячейки, а перемножители не трогает.

    Поскольку таких умножений у меня много, то становится совсем грустно.

    Понимаю, что структуры ячеек "Циклона-5" и "Макса-10" отличаются, но

    если подстёгиваю альтеровскую IP функцию то всё как надо делается.

     

    Как заставить Квартус использовать аппаратные перемножители?

  15. Уже разобрался. Проблема была в инициализации этого режима и работа в нем.

    Столкнулся с той же проблемой.

    AD9910 Eval Board Через USB родным софтом управляется, но как-то странно.

    Работает только если частоту задавать в одном из профилей.

    Регистр 07F (FTW) пустой, если пишу в него то ничего не меняется. Что-то не так.

    Не могу найти в мануале, какие биты включают параллельную загрузку.

    Хоть дело давнее, подскажите пожалуйста, как правильно инициализиролвать.

  16. Прошу сильно не пинать, занялся ПЛИСами недавно (Альтера).

    Взял из публикации SDR приёмник, приделал к нему АЦП, решил посмотреть что внутри происходит при

    помощи SignaTap (Квартус 11.1) http://habrahabr.ru/post/204310/

    Столкнулся с тем, что поведение FIR фильтра сильно зависит от того, включена ли птица

    в Assignments/Settinds/SignalTap Logic Analyser

    Ставлю птицу - работает, могу даже посмотреть шины на входе и на выходе фильтра.

    Убираю птицу - перестаёт работать фильтр. Шлёт на выход совсем не то что было с птицей.

     

  17. вы бы сообщили, сколько у вас оперативной памяти, сколько ядер процессора задействовано,

    горит ли светодиод HDD непрерывно, или всё-таки иногда мигает.

     

    У меня тоже непонятная ситуация.

    По виндусовому индикатору:

    Из 8-ми ядер работают 4, с загрузкой менее половины.

    Из 12-ти Гб используются 3. Жёсткий диск почти молчит.

    В настройках HFSS разрешено использовать все ресурсы.

     

    Ещё вопрос.

    Не могу найти экспорт в GERBER.

    Он есть вообще?

  18. У меня на службе была железка в этом диапазоне.

    Выжимал до 1,3кВт непрерывной мощности.

    Пролазило через 10 метров волновода с дроссельными фланцевыми соединениями.

    Был даже вращающийся переход.

    Для просушки волноводов воздухом, стояли допотопные дегидраторы.

    Пробоев не было и не слыхал.

    Однажды зайчика словил через неплотно притянутый фланец волновода.

    Неприятно, но без последствий.

    Помню, был пробой волновода у 10см РЛС, но там 300кВт в импульсе.

    Пробило на повороте 90 град в плоскости Е из-за воды дыру в палец.

    Так что если медный, отполирован и без соплей, должен выдержать.

  19. Ссылки на тендер не будет - ссылку куда ? Вот шапка одного из документов Справка -обоснование :

    Не нашёл в тексте ни E ни H

    Хотя попасть в шарообразную ФЦП заманчиво.

    Ведь любую проблему можно решить путём написания плана и отчёта по его выполнению.

  20. Коллеги!

    Прежде чем возмущаться, дескать "Не может быть!", прочитайте пост внимательно:

    Наша научная группа хочет освоить бюджетные деньги на разработку локатора на основе EH-антенн .

    Кто что может посоветовать почитать из теории и практики?

    Если я правильно понял, то речь идёт не о том, чтобы сделать РЛС, а об освоении бюджетных средств.

    Из теории можно почитать труды видного экономиста Е. Гайдара, или его последователей.

    Практические навыки можно почерпнуть в любом ДРСУ, ЖЭК или управляющей компании. Они хорошо умеют осваивать средства.

×
×
  • Создать...