Перейти к содержанию
    

Denali Memory Models - как использовать?

Собственно, subj. Где-то на форуме видел высказывание, мол в Denali MMAV есть нормальное описание, однако на многие вопросы там ответов нет...

 

Проблема вот в чем:

Есть тестбенч на SystemVerilog и набор моделей памяти Denali. Хотелось бы для создания экземпляров памятей использовать не обычные Verilog-модули, а SystemVerilog-овские классы (чтобы создавать экземпляры памятей в run-time). Непонятен механизм привязывания SOMA-фалов к Verilog-wrapper-ам, загадочные нигде не документируемые таски $flash_access/$sdram_access и т.п.

 

Нет ли у кого-нибудь какой-нибудь документации по работе с Denali Memory Models, был бы очень признателен! Может ссылочки какие...

 

Заранее спасибо.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Для того, чтобы использовать SOMA модели памяти, необходимо установить соответствующй софт (Denali MMAV, сейчас вроде как входит в состав пакета PureSpec). Я работал последний раз с версией MMAV 3.100-0033 в связке с ModelSim. Документацию к ней могу кинуть на почту (укажите куда).

 

P.S. Давно интересуюсь более новой версией MMAV (PureSpec), но раздобыть пока не удалось. Может быть кто-нибудь может помочь в данном вопросе? Буду признателен.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...