Перейти к содержанию
    

Anophele

Новичок
  • Постов

    1
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный
  1. Закройте, пожалуйста, тему. Нашел ошибку самостоятельно. Оказывается, что имеет значение порядок ввода переменных в функцию. Перепутал местами y и s mplex8 dut(d0, d1, d2, d3, d4, d5, d6, d7[b], y, s);[/b] Здравствуйте, только познаю азы, выполняю разные упражнения из учебника харрисов Написал восьмивходовой мультиплесор, но при модуляции выходной сигнал почему-то оказывается в неопределенных значениях. Прошу помочь разобраться почему. код на SystemVerilog, делал двумя способами, закоментил один из них, разницы никакой тестбенч результат модуляции Еще прошу подсказать, как во время теста с самопроверкой нужно проводить модуляцию. При использовании конструкции assert (data == 1) else $error("1 failed."); я даже специально вводил в тест на проверку неправильные данные, а никакого предупреждения не вылезало. Кстати modelSim в том проекте давал корректный результат, но его было трудоемко проверять Заранее благодарю за помощь
×
×
  • Создать...