Перейти к содержанию
    

функциональное моделирование vhdl-моделей sram

в active-hdl 6.3 делаю симуляцию vhdl-модели синхронной sram взятую с сайта alliance модель не работает у кого есть опыт работы с памятью подскажите что можно сделать

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

в active-hdl 6.3 делаю симуляцию  vhdl-модели синхронной sram взятую с сайта alliance модель не работает у кого есть опыт работы с памятью подскажите что можно сделать

 

 

4etko simuliruetsya pamyat' dlya Xilinx i sgenerirovannaya CoreGen i vzyataya kak VHDL component(toje iz xilinx library) ne zabud' ukazat' v Flow Setting neobxodimuyu informaciyu(HDL synthesis, Implementation i DEVICE) problem ne bilo... A dlya kakogo device SRAM?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...