SMSNG
Участник-
Постов
22 -
Зарегистрирован
-
Посещение
Репутация
0 ОбычныйИнформация о SMSNG
-
Звание
Участник
- День рождения 23.04.1987
Контакты
-
Сайт
Array
-
ICQ
Array
-
Откатился до 3.1v, отрезал дорожку, закинул на MSEL[1] - Vcca. Через Serial Flash Loader EPCS4 прошивается, напрямую через Blaster не прошивается. (скорее всего криво переделал программатор) Всем большое спасибо!
-
QII 11.1sp1 SignalTab
SMSNG ответил SMSNG тема в Среды разработки - обсуждаем САПРы
Поставил Quartus 11.0 Build 208 web edition, подсунул файл лицензии полученный лекарством к версии Quartus 11.1 sp1 Build 216 - Signal tab заработал!!! Включил обратно Quartus 11.1 sp1 Build 216, и тут Signal tab заработал!!! -
QII 11.1sp1 SignalTab
SMSNG опубликовал тема в Среды разработки - обсуждаем САПРы
Здравствуйте. Подскажите, плиз! делаю как тут http://we.easyelectronics.ru/plis/otladka-...schyu-jtag.html добавляю файл stp, компилирую проект,в SignalTab выбираю файл.sof и выбираю программатор ByteBlasterII, жму Scan Chain - пусто. Из квартусовского Programer'а, с тем же hardware, чип находится и прошивается. Не пойму что не так (. Или среда глючит (крякнутая) или я что-то не так делаю? -
CycloneIV & EPCS4 конфигурация ASmode
SMSNG опубликовал тема в Работаем с ПЛИС, области применения, выбор
Здравствуйте, уважаемые знатоки ПЛИС. Дайте совет, пожалуйста! Есть плата с EP4CGX15, разведены JTAG и ASmode в соответствии хандбуку (Figure 8-28 комбинированный режим), но вот незадача.. у нас это первый проект на ПЛИС, да к тому же плату разводил другой человек... получилась борода.. ноги MSEL оказались на GND. По ЖТАГУ чип шьется по AS не хочет. Единственная нога к которой можно подлезть и порезать дорогу - MSEL[1], но из Table 8-3. MSEL = 010 - ASmode 3.0v, 2.5v, а у нас питание 3.3 вольта. Есть ли шанс, что будет работать при 3.3v? Программатор самопальный ByteBlasterII переделанный из ByteBlasterMV по схеме http://www.hdl.co.jp/tp2/ (только nCS и nCE через буфер).. На сколько можно доверять этому программатору? И еще вопрос, изучая хандбук, наткнулся на Programming Serial Configuration Devices In-System with the JTAG Interface, пожалуйста, растолкуйте как использовать. Подводные камни при использовании мегафункции Serial Flash Loader? Шанса на ошибку больше нет, макет должен через месяц превратиться в рабочую плату ), было бы здорово увидеть опробованную схему. Промакетировать уже нет возможности из-за БЖА корпуса. Спасибо! -
Первый проект EPF10k
SMSNG ответил SMSNG тема в Работаем с ПЛИС, области применения, выбор
Память сконфигурирована с асинхронными входами. -
Первый проект EPF10k
SMSNG ответил SMSNG тема в Работаем с ПЛИС, области применения, выбор
Написал так: assign led = d[3:0]; ошибки как не бывало... КАК ТАК ТО? -
Первый проект EPF10k
SMSNG ответил SMSNG тема в Работаем с ПЛИС, области применения, выбор
Научился читать и писать память, но не получается инициализировать ее из файла ( Создал файл .mif под размер своего модуля, при компиляции вылазит ошибка : Error: Node "ram256:ram256_inst|lpm_ram_dq:lpm_ram_dq_component|altram:sram|q[0]" has non-registered write enable-- attempting to initialize a RAM with non-registered write enable (точнее 4 ошибки для q[0],q[1],q[2],q[3]) К этим выводам подключены индикаторы ****** output wire [3:0] led wire [7:0] d; ram256 ram256_inst ( .address ( cnt ), .data ( cnt ), .outclock ( ~clk_out ), .we ( load ), .q ( d ) ); assign led[0] = d[0]; assign led[1] = d[1]; assign led[2] = d[2]; assign led[3] = d[3]; *** В MOdelSim компилируется и работает. Кто-то сталкивался с подобным? Пробовал инициализировать и из файла формата .hex, тот же результат. -
Первый проект EPF10k
SMSNG ответил SMSNG тема в Работаем с ПЛИС, области применения, выбор
Спасибо, обязательно попробую.. Если кто застрял на такой же проблеме как у меня (не моделируются мегафункции), почитайте Quartus + ModelSim. , автоматом генерируется скрипт для запуска ModelSim со всеми необходимыми библиотеками. Пробовал на примере со сдвиговым регистром-работает)). Пока кажется не очень удобным, но поглядев полученный скрипт, можно понять, как запустить проект в ModelSim отдельно от квартуса (наверно)), сейчас буду учиться. -
Первый проект EPF10k
SMSNG ответил SMSNG тема в Работаем с ПЛИС, области применения, выбор
Блин, тот же счетчик ведь работает, и в железе и в модельсиме , хотя так же на библиотечной ф-ии, (((( `timescale 1 ns / 1 ns //---------------------------------------------------------- module Counter( input wire reset, input wire clk_40, output wire [3:0] led ); //---------------------------------------------------------- reg [3:0] cnt = 4'd0; always @(posedge clk_40) cnt <= cnt + 4'd1; assign led = cnt; //---------------------------------------------------------- endmodule -
Первый проект EPF10k
SMSNG ответил SMSNG тема в Работаем с ПЛИС, области применения, выбор
Спасибо, посмотрел, сделал по подобию, не помогло. Вот такие сигналы получаются: Может быть в модельсиме не верно делаю что-то? В проект включены и откомпилированы только ram256.v и ram256_bb.v, но там нет описания работы памяти, я так понял, что работа описывается гдет в библиотечных файлах для кажного семейства плис своя. Если да, подскажите пожалуйста, как подключить к проекту модельсим эти библиотечные файлы. Чет так запутано все)) -
Первый проект EPF10k
SMSNG ответил SMSNG тема в Работаем с ПЛИС, области применения, выбор
Простите, а это как? Разве они прерываются? -
Первый проект EPF10k
SMSNG ответил SMSNG тема в Работаем с ПЛИС, области применения, выбор
Возник еще вопросик. Как правильно использовать память? Создал визардом RAM: 1-PORT 8x256 с регистрами на всех входах и выходе. В моделсиме проект сделал, тестбенч для памяти написал ... на data_out пусто(z). Подскажите, плиз, где искать? `timescale 1ns / 1ns //----------------------------- module banch( ); reg [7:0] address; reg [7:0] data_in; wire [7:0] data_out; reg inclock, outclock; reg we; //----------------------------- ram256 ram256_my( .address (address), .data (data_in), .inclock (inclock), .outclock (outclock), .we(we), .q (data_out) ); //----------------------------- initial begin inclock = 0; outclock = 0; address = 8'b00000001; data_in = 8'b00000001; #52000 we = 1; #55000 inclock = 1; #60000 inclock = 0; #62000 we = 0; #65000 outclock = 1; #70000 outclock = 0; end initial begin $display("Running testbench"); #10000000 $stop; end endmodule -
Первый проект EPF10k
SMSNG ответил SMSNG тема в Работаем с ПЛИС, области применения, выбор
Скачал Quartus II Version 9.0 SP2, ошибка исчезла, прошивается. -
Первый проект EPF10k
SMSNG ответил SMSNG тема в Работаем с ПЛИС, области применения, выбор
Попробовал подключить и прошить в режиме Passive Serial, квартус выдает ошибку: Internal Error: Sub-system: PGMIO, File: /quartus/pgm/pgmio/pgmio_f2p.cpp, Line: 6350 device don't support compression Stack Trace: 0x42F58 : PGMIO_F2P::compress_bitstream + 0x158 (pgm_pgmio) End-trace Quartus II Version 8.1 Build 163 10/28/2008 SJ Web Edition Это ошибка квартуса, или я что-то не то наподключал? Использую ByteBlasterMV Да, конечно. Выковыривал ПЛИС из панелки, дорожка подтягивается к +5. :yeah: Заработало, ч.з. JTAG, нога nCONFIG на плате замыкала на землю, но при попытке прошить в PS mode, по прежнему, qwartus вылетает с ощибкой. СПАСИБО Sazh за пинок в нужном направлении. )