Volkov 0 30 января, 2005 Опубликовано 30 января, 2005 · Жалоба Непонятная ситуация. Описываю счетчик SIGNAL c : STD_LOGIC_VECTOR (7 downto 0). а инкремент счетчика - c<=c +'1'; Вродебы правильно. Но симулятор выдает предупреждение - # ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
Nixon 3 30 января, 2005 Опубликовано 30 января, 2005 · Жалоба Кавычки у единицы лишние. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
Volkov 0 30 января, 2005 Опубликовано 30 января, 2005 · Жалоба Без кавычек симулируется так же. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
vetal 0 30 января, 2005 Опубликовано 30 января, 2005 · Жалоба Дело не в кавычках. Что у вас на входе висит? 'z'&'1'='x'. Еще можно нну выставить правильные. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
Volkov 0 30 января, 2005 Опубликовано 30 января, 2005 · Жалоба LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; ENTITY count IS port ( en : in std_logic; q : out std_logic_vector (7 downto 0); clk : in std_logic ); END ENTITY count; ARCHITECTURE struct OF count IS signal count : std_logic_vector (7 downto 0 ); BEGIN process (clk) begin if en = '1' then if (clk'event and clk = '1') then count <= count +1; end if; end if; end process; q<=count; END ARCHITECTURE struct; Компонент простой, как грабли. Что то с установками в ModelSim наверное. Я толко недавно начал его изучать. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
makc 192 30 января, 2005 Опубликовано 30 января, 2005 · Жалоба Вся проблема в том, что значение count в теле архитектуры не инициализировано, т.е. содержит значение X. Для того, чтобы все было хорошо достаточно будет написать signal count : std_logic_vector (7 downto 0 ) := (others => '0'); И все будет нормально. Но вообще, нужно предусмотреть сигнал ресета, по которому схема переходит в предопределенное правильное состояние. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
Volkov 0 30 января, 2005 Опубликовано 30 января, 2005 · Жалоба Спасибо за помощь. Разобрался. Нужно было предопределить значение шины. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
makc 192 30 января, 2005 Опубликовано 30 января, 2005 · Жалоба Спасибо за помощь. Разобрался. Нужно было предопределить значение шины. <{POST_SNAPBACK}> Совершенно верно. Но еще лучше предусмотреть ресет. ;) Желательно синхронный. :) Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться