Перейти к содержанию
    

Coregen в ISE 6.3

Здравствуйте,

 

Только что Столкнулся с такой загвоздкой:

При создании в CORGENе ядра FIFO (блочного 64*2К) я получаю блок,

А при его использовани, да хотябы в схемном редакторе, трассировщик говорит что его незнает и выкенет из проекта.

Никто не подскажет, ЧТО ДЕЛАТЬ?

 

:(

Спасибо

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здравствуйте,

 

Только что Столкнулся с такой загвоздкой:

При создании в CORGENе ядра FIFO (блочного 64*2К) я получаю блок,

А при его использовани, да хотябы в схемном редакторе, трассировщик говорит что его незнает и выкенет из проекта.

Никто не подскажет, ЧТО ДЕЛАТЬ?

 

:(

Спасибо

 

Хрен его знает. Когда у меня был ИСЕ 6.1, то корегеновское фифо глючило - не выдавало, насколько оно заполнено. Я все фифо с тех пор писал руками. Щас решил попробовать сгенерить корегеном фифо в ИСЕ 6.3 сп3. Но перед этим я себе поставил на пробу ИСЕ 7.1 сп1. В результате сдох кореген 6.3. Кореген 7.1 очень крутой, не похож на предидущие, в нём всё работает.

 

Совет: напиши фифо на блочке сам - это-же элементарно!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...