С констрейнами у меня пока трудновато, поэтому поставил те, что vadimuzzz предлагал, поправив названия портов:
#RX path
create_clock -name rx_virtualclk -period 40
create_clock -period 40 -name rxclk [get_ports {enet_rx_clk}] -waveform {20ns 40ns}
set_clock_groups -exclusive -group {rxclk rx_virtualclk}
set phy_tco_max 10
set phy_tco_min -10
set_input_delay -clock rx_virtualclk -max $phy_tco_max [get_ports {enet_rxd* enet_rx_dv}]
set_input_delay -clock rx_virtualclk -min $phy_tco_min [get_ports {enet_rxd* enet_rx_dv}]
#TX path
set phy_tsu 10
set phy_th 0
set_output_delay -clock { txclk_virt } -rise -max $phy_tsu [get_ports {enet_txd* enet_tx_en}]
set_output_delay -clock { txclk_virt } -rise -min -$phy_th [get_ports {enet_txd* enet_tx_en}]
Знаю что это для GMII, поэтому не факт что я сделал корректно.
Причем, как я понял, изначально в альтеровском примере констрейнов на RGMII нет, тем не менее SSS запускается. Или я не прав?