Перейти к содержанию
    

Alport

Участник
  • Постов

    12
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о Alport

  • День рождения 2 мая
  1. А что там нужно сделать в этих настройках? Кроме как выбрать "As input tri-stated", которое стоит по умолчанию ничего нету. все ошибку убрал через "Device options -> Coniguration scheme" выбрав "Passive Serial" и в "Dual purpose pins" появилось "Use as regular I/O" и после этого проект компилянулся без ошибок. :08:
  2. Здравствуйте! Вопрос: В Quartus II 9.1 создаю проект с обращением к внешней памяти SRAM на EP1C3T100C8N, после того как я назначил номера выводов и пытаюсь компилировать проект выдается ошибка "Error: Cannot place node ~nCSO~ in location 6 because location already occupied by node SRAM_ADDR[16]". На PIN_6 ПЛИС повесил вывод SRAM_ADDR[16] т.к. этот вывод можно использовать как Row I/O, но также на этом выводе есть функция "nCSO". Как сказать Quartus(у), что я хочу использовать его как порт Row I/O, а не nCSO? Когда вывод SRAM_ADDR[16] кидал на другие пины ошибки нет, но я не могу выбрать другие пины, потому что плата уже разведена и вывод адреса памяти нужно цеплять именно на PIN_6.
  3. А слона то, я и незаметил. :05: Неправильно был выбран тип выходных пинов для data. После исправления все заработало и еще выводы nLB и nUB можно подключать на "землю" - работает.
  4. Появилось предупреждение, что делать. Загружаю проект, а затем прошивку. Когда использую внутренюю память чипа все работает. А вот с внешней?
  5. Зацените, оно? :unsure: Я только учусь, так что не судите строго.
  6. Добавляю выводы nUB nLB и появляется предупреждение
  7. После генерации SOPS Builder добавляю свой созданый NIOS в проект. Как вы думаете правильно я подключаю выводы? Можно выводы nUB nLB (SRAM_UB_N SRAM_LB_N) подключать как на рисунке или эти выводы нужно добавлять в элемент созданный для помяти см. выше.
  8. Так как с системами на кристале надо с чегото начинать сделал проект по примеру http://naliwator.narod.ru/nios_II.html#00 "Nios II Разработка аппаратных средств" все заливалось и работало на Сyclone II, но стоит задача использовать внешнию память. Смотрел http://electronix.ru/forum/index.php?showt...=75943&st=0 и не могу понять правильно ли я делаю модуль для памяти на Tristate bridge (512Кх16), потому что после заливки проекта в ПЛИС он не работает. 61LV25616.pdf
  9. Доброе время суток! C NIOS работать, начал недавно. Создав свой компонент, память для Ниоса через Templates >> Avalon MM Tristate Slave, сгенерировав без проблем, он не ципляется к шине. :unsure: Quartus9.1_sp2
×
×
  • Создать...