Перейти к содержанию
    

Вопрос по Synplify

Здравствуйте.

 

Есть файл, в котором описан на верилоге модуль, который использует другие модули, описанные в других файлах.

Собирается это всё в ISE 11.5, и отправляется на синтез в Synplify С2009-06.SP1.

Синтез происходит с ошибкой, мне непонятной.

Вот окончание отчета:

 

Verilog syntax check successful!

@E|No component with name verilog.TCNTR_BIPControlBlock to synthesize

 

Уважаемые знатоки, объясните пожалуйста, в чём я неправ.

Топ-левел модуль TCNTR_BIPControlBlock содержится в файле TCNTR_BIPControlBlock.v

 

Заранее спасибо.

 

P.S. Что интересно, при попытке синтезировать проект в XST, вылезает не менее непонятная ошибка:

INTERNAL_ERROR:Xst:cmain.c:3464:1.47.6.4 -  Process will terminate. For technical support on this issue, please open a WebCase with this project attached at http://www.xilinx.com/support

Изменено пользователем Koluchiy

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 Koluchiy

у меня очень похожая проблема в VHDL+Verilog прожекте была

...No component with name...to synthesize...

вылечилась подключением вериложных файлов в нужном порядке в Synplify-файле проекта.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Что интересно, при попытке синтезировать проект в XST, вылезает не менее непонятная ошибка:

INTERNAL_ERROR:Xst:cmain.c:3464:1.47.6.4 -  Process will terminate. For technical support on this issue, please open a WebCase with this project attached at http://www.xilinx.com/support

 

У меня аналогичная ошибка была в 10 версии, в инете нашел описание ошибки и оказалось, что это косяк xilinx - рекомендовали чего-то тупо прописать в переменных средах и присвоить значение 1. Все сделал - косяк исчез.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...