Перейти к содержанию
    

Импорт VHDL файлов в MATLAB

Здравствуйте.
Я в матлабе новичок. 
У меня стоит версия r2015b.
Есть несколько файлов VHDL, проект в Active-HDL.
Нужно их загнать в матлаб и верифицировать.
Хочу понять, как импортировать дерево файлов или хотя бы один файл VHDL в матлаб или симулинк, для их тестирования.
Благодарю.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Попробуйте так (через cosimWizard) https://www.youtube.com/watch?v=C-d0N7eXvyk&t=28s

Лично я использую System Generator: кидаете блок System Generator и блок Black Box, указываете путь к VHDL/Verilog файлу, кидаете блоки gateway in, gateway out.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

19 hours ago, Skryppy said:

Попробуйте так (через cosimWizard) https://www.youtube.com/watch?v=C-d0N7eXvyk&t=28s

Лично я использую System Generator: кидаете блок System Generator и блок Black Box, указываете путь к VHDL/Verilog файлу, кидаете блоки gateway in, gateway out.

А как открыть этот System Generator. Не могу его найти у себя в matlab.

Или его надо дополнительно поставить?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Нужен установленный xilinx ise или vivado. 

В папке пуск -> xilinx -> system generator. Там надо указать путь к матлабу. После этого появятся блоки. В simulink доступ к блокам происходит в открытой модели , при нажатии правой кнопки мыши на любом пустом месте модели.

Подключение

Работа с Black box

https://youtu.be/7yR2vcDCqwU

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

6 minutes ago, Skryppy said:

В папке пуск -> xilinx -> system generator. Там надо указать путь к матлабу. После этого появятся блоки. В simulink доступ к блокам происходит в открытой модели , при нажатии правой кнопки мыши на любом пустом месте модели.

Благодарю

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...