lelius 0 27 марта, 2019 Опубликовано 27 марта, 2019 · Жалоба Доброго времени суток! Работаю с микросхемой EP4CE6E22C8N и у меня возникла проблема. При написании простого кода Verilog module and1(x, y, z); input x, y; output z; assing z = x & y; endmodule или VHDL library ieee; use ieee.std_logic_1164.all; entity and1 is port( x, y: in std_logic; z: out std_logic ); end and1; architecture arch_and1 of and1 is begin z <= x and y; end arch_and1; и прошивание микросхемы, на плате нажымая кнопки получаю поведение логической операции OR. Может у кого возникала подобная проблема и возможно нашлось решение? P.S. Для работы использую Quartus II 12.1 Web Edition (32-Bit). Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
StewartLittle 41 27 марта, 2019 Опубликовано 27 марта, 2019 · Жалоба При нажатии на кнопки у Вас нули выдаются, или единицы? Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
lelius 0 27 марта, 2019 Опубликовано 27 марта, 2019 · Жалоба Единицы. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
alexadmin 0 27 марта, 2019 Опубликовано 27 марта, 2019 · Жалоба А светодиод зажигается нулем или единицей? ;) Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
iosifk 3 27 марта, 2019 Опубликовано 27 марта, 2019 · Жалоба 22 минуты назад, lelius сказал: и прошивание микросхемы, на плате нажымая кнопки получаю поведение логической операции OR. Может у кого возникала подобная проблема и возможно нашлось решение? Кнопка где дает "1"? И Что значит "получаю", где? Только что, iosifk сказал: Кнопка где дает "1"? И Что значит "получаю", где? Симулятор пробовали? Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
lelius 0 27 марта, 2019 Опубликовано 27 марта, 2019 · Жалоба Если результат операции '1', то светодиод должен загореться. На симуляторе результаты выдаются правильные. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
alexadmin 0 27 марта, 2019 Опубликовано 27 марта, 2019 · Жалоба 1. Подайте кнопки напрямую на светодиоды. 2. Подайте константы 0 и 1 на светодиоды. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
ViKo 1 27 марта, 2019 Опубликовано 27 марта, 2019 · Жалоба Де Морган правит миром. https://ru.wikipedia.org/wiki/Законы_де_Моргана Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
Nieve 0 27 марта, 2019 Опубликовано 27 марта, 2019 · Жалоба В результате синтеза какой логический элемент получается? Загрузите картинку. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
lelius 0 27 марта, 2019 Опубликовано 27 марта, 2019 · Жалоба Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
AnatolySh 0 29 марта, 2019 Опубликовано 29 марта, 2019 · Жалоба Плата кита или самодельная? В любом случае желательно видеть схему включения кнопок и светодиода: вдруг после кнопок и перед светодиодом ещё что-либо инвертирующее стоит? Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
SII 0 29 марта, 2019 Опубликовано 29 марта, 2019 · Жалоба On 3/27/2019 at 2:59 PM, lelius said: Если результат операции '1', то светодиод должен загореться. На симуляторе результаты выдаются правильные. Уверены? Схема ж может быть сделана таким образом, что нажатие на кнопку даёт 0, а не 1, или что светодиод горит, когда на ноге 0, а не 1... Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
druzhin 4 13 апреля, 2019 Опубликовано 13 апреля, 2019 · Жалоба On 3/29/2019 at 3:24 PM, SII said: Уверены? Схема ж может быть сделана таким образом, что нажатие на кнопку даёт 0, а не 1, или что светодиод горит, когда на ноге 0, а не 1... Однозначно - светодиоды подключены всегда к плюсу и зажигаются землей. Так делают традиционно с прошлых времен. 1. с древних времен n-mos логики, или как ее там. 2. когда у комплементарных выходов нижний транзистор был мощнее долгое время. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
SII 0 13 апреля, 2019 Опубликовано 13 апреля, 2019 · Жалоба Автор пишет, что: On 3/27/2019 at 2:59 PM, lelius said: Если результат операции '1', то светодиод должен загореться. ну а Вы говорите, что: 7 minutes ago, druzhin said: Однозначно - светодиоды подключены всегда к плюсу и зажигаются землей Соответственно, всё работает правильно, это автор неправильно решил, что гореть должно при 1 на ноге. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться