dak 0 9 марта, 2016 Опубликовано 9 марта, 2016 · Жалоба Коллеги , кто-нибудь сталкивался с проблемой,озвученной в заголовке и решал её? При гуглении обнаружил,что проблема известная,но конкретных методик решения так и не нашел. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
dak 0 9 марта, 2016 Опубликовано 9 марта, 2016 · Жалоба Скриншот Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
iosifk 3 9 марта, 2016 Опубликовано 9 марта, 2016 · Жалоба Коллеги , кто-нибудь сталкивался с проблемой,озвученной в заголовке и решал её? При гуглении обнаружил,что проблема известная,но конкретных методик решения так и не нашел. Жена моя смотрела сериал про Сулеймана. Так там тоже доктор "решал" большую женщину. Она сидела за ширмой, а он ее мог только распрашивать и кажется даже держал за руку и щупал пульс... Так то 16 век... Темнота... А Вы даже "руку пощупать" не даете, но просите "решать"... Так в чем проблема? Секретный код исходников? Невероятная лицензия? Почему сразу же не привести код, описание лицензии, описание машины? Сколько памяти выделено и пр... Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
dak 0 9 марта, 2016 Опубликовано 9 марта, 2016 (изменено) · Жалоба Жена моя смотрела сериал про Сулеймана. Так там тоже доктор "решал" большую женщину. Она сидела за ширмой, а он ее мог только распрашивать и кажется даже держал за руку и щупал пульс... Так то 16 век... Темнота... А Вы даже "руку пощупать" не даете, но просите "решать"... Так в чем проблема? Секретный код исходников? Невероятная лицензия? Почему сразу же не привести код, описание лицензии, описание машины? Сколько памяти выделено и пр... Дык на скриншоте написано же: использую стартер альтеровский. Эта ошибка возникает при попытке просимулировать абсолютно любой проект. Для скриншота использовал это,например: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.numeric_std.ALL; entity shift_register is Port ( D : in STD_LOGIC; CLK : in STD_LOGIC; Q : out STD_LOGIC); end shift_register; architecture Behavioral of shift_register is signal shift_reg : STD_LOGIC_VECTOR(7 downto 0) := (others=>'0'); begin process (CLK) begin if (rising_edge(CLK)) then shift_reg(6 downto 0) <= shift_reg(7 downto 1); shift_reg(7) <= D; end if; end process; Q <= shift_reg(0); end Behavioral; Имею 4 Gb RAM и 500 Gb HDD. Изменено 9 марта, 2016 пользователем dak Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
iosifk 3 9 марта, 2016 Опубликовано 9 марта, 2016 · Жалоба , описание лицензии, Что за лицензия? Где брали? И где останов для симуляции? Или "пока машина не лопнет"? Где тестбенч? Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
dak 0 9 марта, 2016 Опубликовано 9 марта, 2016 · Жалоба Что за лицензия? Где брали? Скачал с сайта Альтеры. Но это не важно, ибо я очень крупно опозорился. С самим Моделсимом все нормально. В общем, проблема была в моем коде.... Извините, что вынудил вас потратить время зря... Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
iosifk 3 9 марта, 2016 Опубликовано 9 марта, 2016 · Жалоба С самим Моделсимом все нормально. В общем, проблема была в моем коде.... Извините, что вынудил вас потратить время зря... Да все тут нормально. Просто на будущее, чем полнее Вы опишете задачу, тем лучше и быстрее получите ответ... А то, что ошибка нашлась, то это тоже бывает. Когда посмотришь свежим взглядом на код, то становится видно, где ошибка... А вот код Вы пишете плохо. Заголовка файла нет, комментариев - нет. Сбросов - нет... Тестбенча - нет... Ну и так далее. А потому наличие ошибки не удивляет... Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться