Перейти к содержанию
    

essev

Участник*
  • Постов

    72
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о essev

  • Звание
    Участник
    Участник
  1. то есть для щеколды нельзя ничего придумать? в поездах как я понял замок выдает сигнал - открыто/закрыто
  2. ну я понимаю, что вопрос забавный. Herz, живете вы на втором этаже, например, туалет на первом...+на первом еще жилые комнаты есть. По лесенке бегать не охота. +если в комнате у вас тепло, а в коридорах холодно, потому как обогрева в доме нет, а используются только электрические обогреватели - тепло не охота терять, потому как кВт электроэнернгии час дорого стоит. да и неужели вы в поездах не ездили - это ж удобно когда индикатор висит и знаешь, что туалет занят)) Геркон пока что не катит - дверь-то просто могут закрыть, чтоб была закрыта) а туалет не занят))) про кнопку и концевик еще думаю а прокатит ли если намагнитить щеколду и датчик Холла прилипить?
  3. Здравствуйте всем. Внутри частного дома туалет, ну и куча жильцов. До туалета идти "далековасто"... и хотел бы спаять индикатор занятости туалета. на двери туалета обычный шпингалет. Сама задвижка шпингалета входит в дырку деревянной дверной коробки - т.е. нет металлического ушка для задвижки. Что можно использовать в качестве датчика? Желательно подешевле и понадежнее. Спасибо
  4. Всем привет. Хочу чтобы устройство работало как флешка. То есть подключаю его по ЮСБ и отображается содержимое флеш памяти, а там моя программа по работе с моим устройством. Ну, если кто знает - модем Йота :) его подрубаешь и отображается содержимое флешки, а там инсталляха проги, которая с модемом работает. Как обеспечить такую функциональность? И получится ли если я буду использовать микросхему CP2102 (usb-rs232), которая создает виртуальный ком порт? Спасибо
  5. вроде запустилось. Поменял при запуске моделсим: ns на ps и все пошло. Вернул обратно и ошибка снова вернулась.
  6. Всем привет. Хочу подрубить мегафункцию альтеры altufm_osc (внутренний генератор) в свой проект, выполненный в FpgaAdvantage. Генерю в квартусе hdl и подключаю его в свой проект в качестве библиотеки. Запускаю моделирование в Моделсим... У мегафункции altufm_osc 2 порта: oscen - вход разрешения генератора (для разрешения должно быть '1') osc - выход генератора Подаю '0' на выходе генератора '1' Подаю на вход '1' и моделсим выдает: # ** Note: UFM oscillator can operate at any frequency between 3.33MHz to 5.56Mhz. # Time: 1157 us Iteration: 1 Instance: /tb_dvi_blocks_all/u_0/u_2/int_osc_altufm_osc_lv5_component/maxii_ufm_block1 # ** Error: (vsim-3601) Iteration limit reached at time 1157 us. Что за косяк такой? Спасибо
  7. к сожалению, не было времени снова сесть за проект - вот добрался чуток :)
  8. погуглил еще немного :) вот, что пишут про инициализацию в http://courses.engr.illinois.edu/ece385/do...dl_tutorial.pdf "Do not specify initial values in signal declarations. Synthesis compilers ignore initial values. Initial values can be assigned explicitly under a controlling signal (e.g. reset)."
  9. Получил долгожданный простой триггер :) Но инициализация не катит (Precision Synthesis пишет 0 Initial value for q is ignored for synthesis.) - может в коде че-нить поправить? Привожу код еще раз LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; ENTITY dff_new IS PORT( clk : IN std_logic; d : IN std_logic; en : IN std_logic; reset : IN std_logic; q : OUT std_logic := '1' ); END dff_new ; -- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; ARCHITECTURE struct OF dff_new IS -- Architecture declarations -- Internal signal declarations BEGIN process(reset,clk) begin if (reset = '1') then q <= '0'; elsif (rising_edge(clk)) then if (en = '1') then q <= d; end if; end if; end process; END struct;
  10. ПЛИСина = ЦПЛД МАХ2 так в том-то и загвоздка, что нужно, чтобы без всяких ресетов и пресетов устанавливался, т.к. их при включении питания может и не быть. То есть нужно чтобы триггеры были уже предустановленными при включении питания. Вот выдержка из даташита на МАХ2 By default, all registers in MAX II devices are set to power-up low. However, this power-up state can be set to high on individual registers during design entry using the Quartus II software. Получается каждому конкретному триггеру можно назначить свое значение при включении. Я так понимаю это в hdl коде можно делать? Или я не прав? Но вот синтезатор не воспринимает инициализацию триггера. LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; ENTITY dff_new IS PORT( D : IN std_logic; clk : IN std_logic; en : IN std_logic; reset : IN std_logic; Q : OUT std_logic := '1' ); END dff_new ; LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; ARCHITECTURE struct OF dff_new IS BEGIN process(reset,clk) begin if (reset = '1') then Q <= '0'; elsif (rising_edge(clk)) then if (en = '1') then Q <= D; end if; end if; end process; END struct; Так,а почему вход CE просто к '1' подтянут. Почему синтезатор его не пользует или рисует почему зря :)
  11. Всем привет. У меня есть парочка дилетантских вопросов: 1) на выходном порте стоит Д-триггер. Нужно чтобы при включении питания он был = '1'. Как это можно сделать? У меня нет внешнего ресета. И даже вполне возможно, что схема некоторое время вообще без синхронизации находится. Но надо чтобы выходной триггер порта при включении питания вставал в '1'. Как я понимаю приведенный ниже пример описания триггера инициализирует его '1' только при моделировании? entity dff is port (rest,clk,d,en: in std_logic; q: out std_logic := '1'; ); end dff; architecture behav of dff is begin if (reset = '1') then q <= '0'; elsif (rising_edge(clk)) then if (en='1') then q<= d; end if; end if; end process; end behav; 2) Приведенный выше код Precision Synthesys синтезирует как Д-триггер с en клока равным всегда '1', а также синтезирует мультиплексор. Почему так? Спасибо
  12. Всем привет. имеется схема схема самого простейшего интегратора - приложил рисунок как сделать так чтобы этот интегратор не оказывал влияния на исходный сигнал. То есть нужно, грубо говоря, исходный сигнальчик завести на интегратор и сигнальчик этот не испортить :) может микросхемы какие-нить использовать вместо RC-цепочки? Спасибо
  13. Всем привет. Необходимо чтобы устройство могло запоминать настройки пользователя (буквально 1 байт). Кол-во записей в день думаю не будет превышать 50 раз. В проекте стоит плис мах2 - EPM1270. У нее на борту есть флешка, но кол-во записей ограничено 100 разами:) В соседней теме есть линк про неубиваемость мах2, но можно ли расчитывать на это не знаю. Может быть крохотную флешку, епромку или крохотный мк (авр) посоветуете? Места в плисине не сильно много осталось - хотелось бы что-нить попроще для хранения 1 байта :) Спасибо
×
×
  • Создать...