Перейти к содержанию
    

MaratZuev

Свой
  • Постов

    484
  • Зарегистрирован

  • Посещение

Весь контент MaratZuev


  1. Временной анализ программируемых логических интегральных схем Соловьев В.В. 2018 г. 360 стр. Тираж 500 экз. Научное издание Формат 60х90/16 (145x215 мм) Исполнение: в мягкой обложке ISBN 978-5-9912-0709-6 ББК 32.852.3 УДК 621.38:[004.032.3+004.451.23+004.435]
  2. Прошу пояснить, что Вы подразумеваете под оным?
  3. Вот в этой строке variable Keys "+define+TEST_NUMBER=3" кавычки лишние
  4. Не поверите, но, прежде задавать вопросы здесь ли или где, я приучен сам сначала искать где возможно ответы. В документации не нашёл. Но сам дошёл до ответа, как и в предыдущий раз. Видимо, не хватает стимулов в виде порицания.
  5. Всем добра. Хочу передавать изменяющееся в TCL значение в симулируемый посредством Mentor-а HDL. Запускаю simulate.bat set Mentor="c:\MentorGraphics\QuestaSim64_2020.1\win64\questasim.exe" start "" %Mentor% -do "source simulate.tcl" где simulate.tcl выглядит так source compilation.tcl variable testbench tb variable library work if [file exists $library] { vdel -all } vlib $library variable DirectoriesList [pwd] variable Extension2Compile sv variable Keys "+define+TEST_NUMBER=3" if {[compilation $DirectoriesList $Extension2Compile $Keys]} { vsim -L work work.$testbench run 100ns } else { puts "Error compiling files!" } compilation.tcl, в свою очередь, так: итоговый transcript выглядит так: # Reading pref.tcl # // Questa Sim-64 # // Version 2020.1 win64 Jan 28 2020 # // # // Copyright 1991-2020 Mentor Graphics Corporation # // All Rights Reserved. # // # // QuestaSim and its associated documentation contain trade # // secrets and commercial or financial information that are the property of # // Mentor Graphics Corporation and are privileged, confidential, # // and exempt from disclosure under the Freedom of Information Act, # // 5 U.S.C. Section 552. Furthermore, this information # // is prohibited from disclosure under the Trade Secrets Act, # // 18 U.S.C. Section 1905. # // # source simulate.tcl # DirectoriesListNum == 1 # CurrDirectoryPath == D:/tcl2tb/Sim at lindex = 0 # QuestaSim-64 vlog 2020.1 Compiler 2020.01 Jan 28 2020 # Start time: 18:56:06 on Sep 24,2021 # vlog -reportprogress 300 "+acc" "+define+TEST_NUMBER=3" D:/tcl2tb/Sim/tb.sv # -- Compiling module tb # # Top level modules: # tb # End time: 18:56:06 on Sep 24,2021, Elapsed time: 0:00:00 # Errors: 0, Warnings: 0 # FilesOfCurrDirCompiled = 1 # success # End time: 18:56:09 on Sep 24,2021, Elapsed time: 0:07:02 # Errors: 0, Warnings: 0 # vsim -L work work.tb # Start time: 18:56:10 on Sep 24,2021 # ** Note: (vsim-8009) Loading existing optimized design _opt # Loading sv_std.std # Loading work.tb(fast) # TSTNUM1 = 1 # TSTNUM2 = 2 откуда следует, что из TCL в HDL (SV) величины не передались. ЧЯДНТ? Проект, как всегда, в скрепке. tcl2hdl.zip
  6. Да, спасибо: замена на Ваш вариант решила поставленный вопрос. Скорректированный командный файл выглядит так: set Mentor=c:\MentorGraphics\QuestaSim64_2020.1\win64\questasim.exe set TCL="source simulate.tcl" %Mentor% -do %TCL%
  7. Всем добра. Продолжаю разбираться с TCL, но уже в применении к Mentor-у. Итого имею simulate.bat @echo off set Mentor=c:\MentorGraphics\QuestaSim64_2020.1\win64\questasim.exe set TCL=simulate.tcl %Mentor% -do %TCL% pause который передаёт exe Mentor-a (в данном случае Questa) simulate.tcl в котором в двух строках в соответствии с этими рекомендациями вычисляются номера строк следующим образом variable add_wave_start_line_num [printLine [info frame]] где printLine.tcl выгядит так: proc printLine {frame_info} { return [dict get [info frame $frame_info] line] } а эти номера позднее используются при поиске и подсчёте количества строк в функции cnt_add_wave_strings.tcl Transcript при запуске выдаёт полностью Или номера строк # add_wave_start_line_num = 11, add_wave_end_line_num = 25 что не соответствует действительности. Не надеюсь на хоть какую-то осмысленную помощь в этом деле, всё-таки позволю себе спросить: ЧЯДНТ? Все концы, как обычно, прилагаю. front_detect.zip
  8. Орешек знаний тверд, Но все же мы не привыкли отступать... ---------------------------- quartus_asm --tcl_eval source build_id.tcl build_id.zip
  9. Он позволил исключить Quartus из цепочки, в которой последний был вообще не нужен и с которым прому(вырезано цензурой)дохался и сам ТС и те, кто "пытался ему помогать". В любом случае всем спасибо (без кавычек), ибо без вас всех я бы не пришёл к этому (хотя здесь, м.б. немного лукавлю).
  10. ActiveTCL спас отца русской демократии. Окончательный проект прилагаю. build_id_activetcl.zip
  11. Вот спасибо: а я-то английкого-то и не знаю! Куда уж нам, сиворылым!
  12. Моветон - это когда картинка не несёт никакой дополнительной информации. Напишете подсветку синтаксиса для того, что изображено на картинке - вопрос будет полностью правомерен. А так - критика ради критики. Пожалуйста: QuartusProjectName = build_id Info: ******************************************************************* Info: Running Quartus Prime Analysis & Synthesis Info: Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition Info: Copyright (C) 2019 Intel Corporation. All rights reserved. Info: Your use of Intel Corporation's design tools, logic functions Info: and other software and tools, and any partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Intel Program License Info: Subscription Agreement, the Intel Quartus Prime License Agreement, Info: the Intel FPGA IP License Agreement, or other applicable license Info: agreement, including, without limitation, that your use is for Info: the sole purpose of programming logic devices manufactured by Info: Intel and sold by Intel or its authorized distributors. Please Info: refer to the applicable agreement for further details, at Info: https://fpgasoftware.intel.com/eula. Info: Processing started: Fri Sep 17 21:42:18 2021 Info: Command: quartus_map build_id Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected Warning (12019): Can't analyze file -- file build_id.sv is missing Error (12007): Top-level design entity "build_id" is undefined Error: Quartus Prime Analysis & Synthesis was unsuccessful. 1 error, 1 warning Error: Peak virtual memory: 4675 megabytes Error: Processing ended: Fri Sep 17 21:42:41 2021 Error: Elapsed time: 00:00:23 Error: Total CPU time (on all processors): 00:00:01 Для продолжения нажмите любую клавишу . . . В скрепке скорректированный проект .... Всё на том же месте. build_id.zip
  13. Прошу простить, что не оповестил, но я переписал все файлы проекта в одинообразном стиле и убрал упоминание проекта высшего уровня. Теперь есть build_id.qpf QUARTUS_VERSION = "18.1" PROJECT_REVISION = "build_id" build_id.qsf set_global_assignment -name PRE_FLOW_SCRIPT_FILE "quartus_sh:build_id.tcl" set_global_assignment -name TOP_LEVEL_ENTITY build_id set_global_assignment -name NUM_PARALLEL_PROCESSORS ALL set_global_assignment -name SYSTEMVERILOG_FILE build_id.sv process.bat @echo off set QuartusProjectExtension=qpf for %%f in (*.%QuartusProjectExtension%) do ( if %%~xf==.%QuartusProjectExtension% ( set QuartusProjectName=%%~nf echo QuartusProjectName = %%~nf ) ) call clear_quartus call quartus_map_current_project pause и, наконец, quartus_map_current_project.bat @echo off echo %~n0 started at %time% %date% with %QuartusProjectName% set quartus_map=c:\altera\13.1\SE\quartus\bin64\quartus_map.exe %quartus_map% %QuartusProjectName% echo %~n0 finished at %time% %date% exit /b остальное осталось тем же. Так что вопрос остаётся.
  14. Всем добра! Имеются следующие файлы: process.bat @echo off set quartus_project_name=d44_m call clear_quartus call quartus_sh_compile_current_project pause clear_quartus.bat quartus_sh_compile_current_project.bat d44_m.qpf QUARTUS_VERSION = "18.1" PROJECT_REVISION = "d44_m" d44_m.qsf set_global_assignment -name LAST_QUARTUS_VERSION "18.1.1 Lite Edition" set_global_assignment -name PRE_FLOW_SCRIPT_FILE "quartus_sh:build_id.tcl" set_global_assignment -name TOP_LEVEL_ENTITY build_id set_global_assignment -name NUM_PARALLEL_PROCESSORS ALL set_global_assignment -name SYSTEMVERILOG_FILE build_id.sv set_global_assignment -name FAMILY "MAX V" set_global_assignment -name DEVICE 5M2210ZF256C4 set_global_assignment -name RESERVE_ALL_UNUSED_PINS "as input tri-stated" set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED" и, наконец, сам build_id.tcl При запуске process.bat получаем следующее: Правильно ли я понимаю, что, помимо компиляции tcl, quartus пытается провести полную сборку проекта? И, если да, то как мне заставить его ограничиться только компиляцией (созданием нужных мне модуля и хидера) и дальше не идти? Весь проект прилагаю. Emasculated.zip
  15. Что за добавленные файлы? Давайте Ваш проект: посмотрю и сравню со своими. У меня проблем нет.
  16. Не всегда так. Было желание ознакомиться с родным даташитом на продукцию тёзки нашей зеленоградской фирмы, о которой речь в этой теме (кстати, у меня там очень хороший знакомый работает, а иметь инсайдера где бы то ни было, сами понимаете - совсем другой коленкор. Правда, это знакомство ничего конкурентно-способного не дало, т.к. официальные контакты налажены давно и задолго до меня, но, однако, душ всегда греет то, что можно прямо и без обиняков позвонить и спросить насчёт того или иного момента. ПЛИС, например, - моей специализации). Итого, это было лирическое отступление. В скрепке переписка с московскими представителями американского микрона и документ, что они прислали для подписания. Кто через такое проходил? Вот накой этот гимор? Gmail - Micron Datasheet_ 32_64_128_256Gb Async_Sync NAND (M73A).pdf Project Information Form.xls Неадекватов хватает: и онлайн, включая здесь, и IRL Отфорвардил вопрос вышеупомянутому очень ценному моему контакту ))) А Вы Борисову привет передали от меня? О_о ) Коллега, Вы ничего не перепутали или себя Бог весть кем себя возомнили, чтобы пытаться втиснуть столь разношёрстный коллектив в какие-то рамки? Я вспоминаю, как мы ангстремовские чипы под 485, если не вру, ставили: первая страница листка - пусто, вторая - вот они - главные слова - "ИМС эквивалентна такой-то от Холтека" - далее несколько страничек - мусор с ошибками. Лезешь на Холтек и вот оно, счастье! Двадцать страниц убористого текста, снимающего почти все вопросы. И ещё аппликуха, которая доводит процент ответов на не возникшие ещё вопросы до 100! Кстати, не все. Я слишком юн, наверное, для этого (1974)? Прошу просветить ..... И здесь просьба просветить касаемо соображений ) Теперь моя очередь предлагать не опускаться до вражды между странами и народами. Жили туеву хучу лет бок о бок и будем жить. Нет плохих национальностей, вероисповеданий и рас, есть плохие люди. Будем как Солнце!
  17. Не благодарите. 1487УД.ZIP Продаётся всё, кроме Родины.
  18. Не секрет насчёт названия: Alint (Pro). А вот цена .. впрочем, если я скажу, что несколько десятков тысяч евро, то вряд ли кого-то удивлю или что-либо нарушу.
  19. Спасибо модератору. Правильное решение. Кстати, в Вашем городе у меня есть хороший знакомый - директор компании "Разумный дом". Если, вдруг, знаете, привет передавайте от Анатолия Шарапова )))
  20. Я о том, что выбрали наши, а не о том, что он соответствует тому "ТЗ", что они мне дали. А о размахе это вы сами вообще выдумали: передо мной такая задача вообще не ставилась. С таким же успехом можно убиваться о том, что он не SOIC-8 или чего-то в этом духе Вот прицепились. Из разряда ОБС. Ну ладно, раз уж Вы, мне знакомый по другим форумам (прошу простить за тавтологию) форумчанин об этом тоже спрашиваете, то считаю тему интересной, но, хоть, как сказал выше, нас не интересующую (в данном контексте), вполне имеющей право на существование. Попробую адресовать вопрос своему знакомому в ОЛВСиСУ при МИЭТ.
  21. Удалось найти ответ или этот ваш вопрос был первым и последним здесь? А по делу искать, раз здесь не помогают, надо приближенным к родным, если не на родных форумах или, что лучше, у дистрибьютеров. Одного могу порекомендовать, если вопрос ещё актуален.
  22. Хорошо, что ваш заказчик не заставил вас купить линтер и пощипать код на предмет соответствия RMM, DO-254, STARK, CDC, RDC и что_там_ещё_есть. Кстати, мы сами на пороге покупки оного инструмента. Сам нашёл и настоял ))) Держите кулаки и stay tuned )))
×
×
  • Создать...