Перейти к содержанию
    

ISE 14.2 -> 14.7 не могу найти нужные настройки

На работе установлен ISE 14.2, сейчас я в отпуске, "соблазняют" халтурой найти глюк(и) в проекте на ISE 14.7.

В начале синтезировал проект и увидел, что расчетная максимальная частота тактирования 134Мгц.

В проекте 150. Поменял настройки оптимизации - получил 170.

 

Железа под рукой нет, начал просматривать тексты исходников.

На мой взгляд текст написан "красиво".

Что-то понятно, есть функции которые я не использую.

Нашел $clog2

Тут http://www.xilinx.com/support/answers/44586.html пишут что нужно Verilog-2005.

 

Начал искать в настройках поддержку Verilog-2005.

Не нашел.

 

В 14.2 поддерживается Verilog-2001.

 

Тут пишут что в 14.4 тоже Verilog-2001.

https://forums.xilinx.com/t5/Simulation-and...ort/td-p/489510

 

Считать, что в ISE 14.7 полной в поддержки Verilog-2005 нет, но "$clog2" работает корректно?

 

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Что-то понятно, есть функции которые я не использую.

Нашел $clog2

Тут http://www.xilinx.com/support/answers/44586.html пишут что нужно Verilog-2005.

 

Считать, что в ISE 14.7 полной в поддержки Verilog-2005 нет, но "$clog2" работает корректно?

Ну а если вместо $clog2 просто написать функцию clog2, ведь ее коды приведены в ответе на вопрос ?

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ну а если вместо $clog2 просто написать функцию clog2, ведь ее коды приведены в ответе на вопрос ?

 

Вызовов функций много - не хочу переписывать.

Проверю в железе.

 

Лучше спрошу про Modelsim.

Какой поновее из имеющихся на FTP прикручивается без проблем к ISE 14.7?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Лучше спрошу про Modelsim.

Какой поновее из имеющихся на FTP прикручивается без проблем к ISE 14.7?

10 версии

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...