Перейти к содержанию
    

Подключить просто!. Открываешь MSS конфигуратор и в нем ClockManagement. Конфигурируешь частоты. В качестве источника частоты может выступать FAB_CLK, GLC или, если снять галочку с FAB_CLK, выход GLB. Затем в SmartDesigner делаешь модуль в который добавляешь процессорный модуль (он включает и блок частоты) и свой модуль. На процессорном модуле будут выходы тактовой частоты, которые соединяешь с тактовым входом своего модуля.

У Вас есть ошибка описанная здесь (см. скриншот во вложении) ?

Как пример: можете дать простенький проект - счетчик на логике. Или я могу выслать свой, чтобы Вы его подкорректировали. Подсоединение тактовой частоты счетчика от процессора желательно(если можно) сделайте плиз через схемотехнический редактор - наглядней и понятней. Просто с этим ПО я работаю не давно и еще не полностью освоился...

Или расскажите по подробнее про

На процессорном модуле будут выходы тактовой частоты, которые соединяешь с тактовым входом своего модуля.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

У Вас есть ошибка описанная здесь (см. скриншот во вложении) ?

Как пример: можете дать простенький проект - счетчик на логике. Или я могу выслать свой, чтобы Вы его подкорректировали. Подсоединение тактовой частоты счетчика от процессора желательно(если можно) сделайте плиз через схемотехнический редактор - наглядней и понятней. Просто с этим ПО я работаю не давно и еще не полностью освоился...

Или расскажите по подробнее про

 

Забудь о ViewDraw. Рисуй в SmartDesign. Это особенно касается процессорных систем, а так же цифро-аналоговых ПЛИС Fusion и SmartFusion.

Вот пример дизайна

 

Кофигурим в MSS частоту

 

post-32325-1284133731_thumb.jpg

 

Создаем новый модуль SmartDesign и создаем в нем схему с процессором и счетчиком

 

post-32325-1284133823_thumb.jpg

 

Если нужен проект или еще какие вопросы напиши мне на адрес техподдержки Российского представительства Актел.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Забудь о ViewDraw. Рисуй в SmartDesign. Это особенно касается процессорных систем, а так же цифро-аналоговых ПЛИС Fusion и SmartFusion.

Вот пример дизайна

 

Кофигурим в MSS частоту

 

post-32325-1284133731_thumb.jpg

 

Создаем новый модуль SmartDesign и создаем в нем схему с процессором и счетчиком

 

post-32325-1284133823_thumb.jpg

 

Если нужен проект или еще какие вопросы напиши мне на адрес техподдержки Российского представительства Актел.

СПАСИБО!

дайте свою почту в личку, плиз

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Спасибо всем!

Вопрос с заведением тактовой частоты вроде решился. Но возник еще вопрос:

Я начал делать файл для схемотехнического редактора, чтобы подсоединить макросы (XTLOSC, RTOSC, CLKSRC - Нужна более детальное их описание и как с ними работать.) к счетчику+дешифратору. Так мне ПО выдало (см. вложение - error_no_lib_for_schematic.jpg). Захожу по этому пути с помощью проводника Windows, а папки с библиотекой элементов -то действительно нет (для семейства SmartFusion), хотя для других семейств имеются. Ладно думаю... Может я ошибся при установке, нашел другой свободный компьютер на работе - инсталлирую все ПО от Actel (со всеми галочками). Проверяю - такая же ошибка. В чем может быть причина?

 

Скриншот ошибки я приводил в этом сообщении (+ доп. инфо)

 

Просто получается, что схемотехническим редактором пользоваться нельзя, или как быть людям которые не знают языков описания аппаратуры?. SmartDesign пользоваться?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Спасибо за помощь! Будем пробовать и разбираться далее...

Еще вопрос у Вас появляется такая ошибка при работе с этим новым семейством ПЛИС (SmartFusion) и имеется ли библиотека макросов для этого семейства (SmartFusion)? Скриншот ошибки в предыдущем посте.

У меня тоже нет этой библиотеки SmartFusion для ViewDraw.

 

Попытался использовать макрос RCOSC в "голом" проекте без микропроцессора (в SmartDesign), тоже со счетчиком. Не получилось - Designer выдал ошибку

Error: CMP484: Illegal connection between instance 'RCOSC_0' and instance

The On-chip RC oscillator can only drive the input clocks CLKA, CLKB and CLKC of the MSS_CCC and FAB_CCC macros

Так что похоже без MSS действительно не обойтись...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

У меня тоже нет этой библиотеки SmartFusion для ViewDraw.

 

Попытался использовать макрос RCOSC в "голом" проекте без микропроцессора (в SmartDesign), тоже со счетчиком. Не получилось - Designer выдал ошибку

Error: CMP484: Illegal connection between instance 'RCOSC_0' and instance

The On-chip RC oscillator can only drive the input clocks CLKA, CLKB and CLKC of the MSS_CCC and FAB_CCC macros

Так что похоже без MSS действительно не обойтись...

Спасибо за помощь! Светодиоды на плате замигали - вывел старшие 8 разрядов от 32 разрядного счетчика, работающего на тактовой частоте 100 МГц.

Может FAE_SKV, прольет свет по этому поводу (отсутствия библиотек).

 

PS Никто не желает присоединиться

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Спасибо за помощь! Светодиоды на плате замигали - вывел старшие 8 разрядов от 32 разрядного счетчика, работающего на тактовой частоте 100 МГц.

Может FAE_SKV, прольет свет по этому поводу (отсутствия библиотек).

 

PS Никто не желает присоединиться

 

Первое. Не используйте ViewDraw - это атавизм Libero. Он пока присутствует для совместимости с проектами, сделанными в более ранних версиях Libero, где не было графического редактора SmartDesign. Библиотек под него нет и не будет.

 

Второе. Проект для SmartFusion без процессора не сделать. Если не нужен процессор используйте семейство Fusion.

Примитивы, такие как RCOSC предназначены для Fusion и SmartFusion A2F500, где есть вторая PLL на которую можнозаводить частоту с RCOSC. В A2F200, которая стоит на плате кита, только одна PLL, которая конфигурится через MSS.

Не надо воспринимать MSS как конфигуратор именно микропроцессорной части. Это графическая оболочка для конфигурации аппаратной цифровой и аналоговой частей ПЛИС.

Блок ClkockManagement конфигурирует тактовые частоты как для процессорной части, так и для аналоговой и для ПЛИС.

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Первое. Не используйте ViewDraw - это атавизм Libero. Он пока присутствует для совместимости с проектами, сделанными в более ранних версиях Libero, где не было графического редактора SmartDesign. Библиотек под него нет и не будет.

 

Второе. Проект для SmartFusion без процессора не сделать. Если не нужен процессор используйте семейство Fusion.

Примитивы, такие как RCOSC предназначены для Fusion и SmartFusion A2F500, где есть вторая PLL на которую можнозаводить частоту с RCOSC. В A2F200, которая стоит на плате кита, только одна PLL, которая конфигурится через MSS.

Не надо воспринимать MSS как конфигуратор именно микропроцессорной части. Это графическая оболочка для конфигурации аппаратной цифровой и аналоговой частей ПЛИС.

Блок ClkockManagement конфигурирует тактовые частоты как для процессорной части, так и для аналоговой и для ПЛИС.

Спасибо! Все понял.

Любопытство:

Получается что Actel в будущем вообще откажутся от схемотехнического редактора, я правильно понимаю? Почему "ViewDraw - это атавизм Libero", если можно поподробнее? Как быть людям которые работают в схемотехническом редакторе и не знают языков описания аппаратуры (VHDL/Verilog)? Просто этим людям без библиотек схемотехнических элементов становится не возможным производить разработку собственной цифровой схемы на данном семействе ПЛИС.

PS Просто на работе такие люди еще остались...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Спасибо! Все понял.

Любопытство:

Получается что Actel в будущем вообще откажутся от схемотехнического редактора, я правильно понимаю? Почему "ViewDraw - это атавизм Libero", если можно поподробнее? Как быть людям которые работают в схемотехническом редакторе и не знают языков описания аппаратуры (VHDL/Verilog)? Просто этим людям без библиотек схемотехнических элементов становится не возможным производить разработку собственной цифровой схемы на данном семействе ПЛИС.

PS Просто на работе такие люди еще остались...

 

Почему не будет? А SmartDesign? В нем можно собирать не только процессорные системы. Есть каталог с библиотеками примитивов, макросов, IP-ядер с помощью которых можно нарисовать схему. На схему можно добавлять модули, созданные в SmartDesign или HDL языке, создавая иерахические проекты. Пока еще есть проблемы и обойтись без использования языков программирования невозможно, но они решаются.

Или что ты подразумеваешь под "схемотехническим элементом"? Аналоги конкретных микросхем?

 

И еще. Libero в его сегодняшнем виде всего пару лет. До 8-й версии он был совершенно другим. Это был по-сути текстовый редактор с трассировщиком. Назвать его средой разработки было тяжело.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Или что ты подразумеваешь под "схемотехническим элементом"?

Я понимаю под "схемотехническим элементом" (иногда называю схемотехническими макросами или примитивами. PS Просто не знаю как правильно называются.) - стандартный набор элементов (элементы AND, OR, XOR и т.д. с разным количеством входов, различный набор триггеров, регистров, дешифраторы, мультиплексоры и т.д), в общем такую же библиотеку элементов, которая представлена в схемотехническом редакторе ViewDraw для других семейств ПЛИС.

Наверное (как Вас понял) как решение можно с помощью SmartGen получить все эти элементы (в виде IP-ядер). И в SmartDesign уже производить соединение. Правильно?

 

Есть каталог с библиотеками примитивов, макросов, IP-ядер с помощью которых можно нарисовать схему. На схему можно добавлять модули, созданные в SmartDesign или HDL языке, создавая иерахические проекты.

Можно подробнее... Просто я как понял каталога с библиотеками примитивов, макросов - нет. Или это только для схемотехнического редактора ViewDraw , а для SmartDesign - есть?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я понимаю под "схемотехническим элементом" (иногда называю схемотехническими макросами или примитивами. PS Просто не знаю как правильно называются.) - стандартный набор элементов (элементы AND, OR, XOR и т.д. с разным количеством входов, различный набор триггеров, регистров, дешифраторы, мультиплексоры и т.д), в общем такую же библиотеку элементов, которая представлена в схемотехническом редакторе ViewDraw для других семейств ПЛИС.

Наверное (как Вас понял) как решение можно с помощью SmartGen получить все эти элементы (в виде IP-ядер). И в SmartDesign уже производить соединение. Правильно?

 

В общем да. Только теперь отдельно SmartGen нет. Он входит в Libero под названием Catalog. Примитивы (И, ИЛИ, и т.д) в Libero называются макросами. Их надо из каталога перетащить мышкой на поле открытой схемы SmartDesign. Все остальные можно либо перетащить на пле схемы, либо дважды кликнуть по названию. Откроется форма конфигурации. После конфигурации элемент добавиться в проект, а если в окне была открыта схема SmartDesign, то и в эту схему.

 

Можно подробнее... Просто я как понял каталога с библиотеками примитивов, макросов - нет. Или это только для схемотехнического редактора ViewDraw , а для SmartDesign - есть?

Есть все библиотеки для SmartDesign. Для ViewDraw нет.

 

Посмотри файл. Там коротко описано, в том числе, как сделать схему в SmartDesign.

 

Creating_a_project_in_LiberoIDE.pdf

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В общем да. Только теперь отдельно SmartGen нет. Он входит в Libero под названием Catalog. Примитивы (И, ИЛИ, и т.д) в Libero называются макросами. Их надо из каталога перетащить мышкой на поле открытой схемы SmartDesign. Все остальные можно либо перетащить на пле схемы, либо дважды кликнуть по названию. Откроется форма конфигурации. После конфигурации элемент добавиться в проект, а если в окне была открыта схема SmartDesign, то и в эту схему.

 

 

Есть все библиотеки для SmartDesign. Для ViewDraw нет.

 

Посмотри файл. Там коротко описано, в том числе, как сделать схему в SmartDesign.

 

Creating_a_project_in_LiberoIDE.pdf

Спасибо большое за помощь! Файл - понравился, кратко, но все понятно. Будем в ПО от Actel разбираться дальше. В ближайших планах поднять встроенный процессор (задача №1 - получить надпись Hello world! в окне гипертерминала.) и аналогувую часть (ARM® Cortex™-M3 and programmable analog). Думаю вопросы появятся... :)

Буду писать сюда.

 

PS Что-то мало на форуме людей, которые работают с Actel. Повторюсь: не желаете присоединиться - думаю Вам будет не плохая реклама, а новичкам хорошее подспорье в обучении в работе с продукцией Actel. :) Тем более у Вас я так понимаю уже материал практически готов - во всяком случае наверное большая часть. :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вопрос как производить моделирование для разработанной цифровой схемы

- в связке со встроенным микропроцессором;

- без встроенного микропроцессора - независимая цифровая схема описанная на VHDL.

Собственно вопрос - как моделировать глобальную тактовую частоту, которую необходимо подать на разработанную цифровую схему (хотя бы без встроенного микропроцессора). Пытался вытянуть с VHDL файла, который генерируется SmartDesign, чтобы вставить как компонент в testbench файл. Но сразу возникла проблема - у этого компонента нет входа для подачи глобальной тактовой частоты.

PS Как альтернативный путь - сделать параллельный проект на другом семействе (например ProAsic3). Провести полную разработку цифровой схемы описанная на VHDL, с проведением моделирования работы на каждом этапе. А потом перейти к проекту на SmartFusion. С помощью SmartDesign произвести соединение встроенного микропроцессора и разработанной цифровой схемы. Произвести программирование ПЛИС. И дальнейшую отладку, уже в связке со встроенным микропроцессором производить "в железе".

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вопрос как производить моделирование для разработанной цифровой схемы

- в связке со встроенным микропроцессором;

- без встроенного микропроцессора - независимая цифровая схема описанная на VHDL.

Разобрался... ;)

 

Спасибо!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Возник еще вопрос:

Сделал проект в SmartDesign (без процессора - логика описанная на VHDL) - моделирование на всех этапах проходит прекрасно. Захотел посмотреть в синтезаторе Synplify RTL и Technjlogy design. Для чего соответственно нажал кнопки на панели RTL View и Technjlogy View. Но "внутренности" описанного компонента на VHDL, т.е. схемотехнику разработанного компонента Synplify показывать не хочет. И пишет при этом

License checkout: synplifypro_acteloem

License: synplifypro_acteloem node-locked

В чем причина? Что-то с лицензией, тогда как это исправить?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...