Здравствуйте.
Все таки, почему может быть такая низкая частота у корки MIPI RX для GW2AR-LV18EQ144C8/I7??
Создал пустой проект. Самый простой.
Добавил только одну корку.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_unsigned.all;
use IEEE.numeric_std.all;
entity top is
port (
clk: in std_logic:= '0'; --генератор входного клока на 50мгц
HS_CLK_P_io: inout std_logic := '0';
HS_CLK_N_io: inout std_logic := '0';
HS_DATA1_P_io: inout std_logic := '0'