Перейти к содержанию
    

Ошибки при использовании констрейнов в vivado

Здравствуйте. Я новичек в этом деле. Пока удалось только пины назначить.

Создал проект на arty Z7-20. Вылезли временные ошибки на этапе имплементации. Почитал тему ниже, статьи на фпга сусмем, предложенные там. Попробовал объявить клоки асинхроными. Сделал запись:

create_clock -period 10.000 -name fclk_clk0 -waveform {0.000 5.000} [get_pins design_1/processign_system7_0/FCLK_CLK0]

create_clock -period 50.000 -name fclk_clk1 -waveform {0.000 25.000} [get_pins design_1/processign_system7_0/FCLK_CLK1]

set_clock_groups -name cdc_async -group [get_clocks clk_fpga_0] -group [get_clocks clk_fpga_1] –asynchronous

Вивадо выдает следующее:

[Vivado 12-4739] create_clock:No valid object(s) found for '-objects [get_pins design_1/processign_system7_0/FCLK_CLK0]'.

[Vivado 12-4739] set_clock_groups:No valid object(s) found for '-group [get_clocks clk_fpga_0]'.

[Vivado 12-4739] set_clock_groups:No valid object(s) found for '-group [get_clocks clk_fpga_1]'.

Получается я использую не те имена или не те пути? Где найти правильные пути и имена?

Изменено пользователем Pasha
Дополнение информации

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Имена можно посмотреть в нетлисте (он обычно при открытом синтезированном проекте появляется в левой панели, где вкладка Sources) или в схематике — там можно встать на цепь и в контекстном меню вызвать свойства, в появившемся окошке (обычно тоже в левой панели снизу) можно увидеть все подробности.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

до кучи там есть итерактивный инструмент для задания констрейнов, в нем, в графическом режиме, можно искать обьекты в проекте. + иерархию тактовых и пересечение тактовых доментов можно посмотреть в синтезе/имплементации Report Clocks/Report Clocks interractions

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Очень странно. В тексте не проходило. А через интерфейс, вами подсказанный, асинхронные группы создались и прописались в xdc файл.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...