

vladimir_orl
Участник-
Posts
209 -
Joined
-
Last visited
Everything posted by vladimir_orl
-
Начало работы с NVIDIA Jetson Nano
vladimir_orl replied to terraelectronica's topic in Объявления пользователей
Добрый день! Стал я счастливым обладателем Jetson nano 2gb. после установки образа убунты почему-то просятся login и parol в процессе гугления наткнулся на то что это логин и пароль, заданные при установке но у меня при установке ничего такого не запрашивалось. логин и пароль от компа тоже не подходят... не сталкивались? -
Ищу микросхему или аналог JKT-DO3
vladimir_orl posted a topic in Микросхемы
Имеется дисплей OSD057VA01C В процессе его монтажа высокое напряжение попало на сигнальные линии и он вышел из строя при осмотре оказалось, что сгорела (с небольшим разрушением корпуса) микросхема JKT-DO3 ищу её или аналоги. -
ПЛИС Gowin Semiconductor
vladimir_orl replied to StewartLittle's topic in Работаем с ПЛИС, области применения, выбор
Симуляторы? -
Проблема с FTDI2232h в ноутбуках
vladimir_orl replied to vladimir_orl's topic in Интерфейсы
над будет посмотреть большое спасибо -
Проблема с FTDI2232h в ноутбуках
vladimir_orl replied to vladimir_orl's topic in Интерфейсы
Вы знаете, то же самое. Мне кажется, что в нетбуках стоят какие-то дешёвые USB драйверы, которые как-то кривовато взаимодействуют с библиотеками FTDI Но это моё личное мнение. если кто-то обладает более полной информацией, было бы очень интересно выслушать. -
Проблема с FTDI2232h в ноутбуках
vladimir_orl replied to vladimir_orl's topic in Интерфейсы
интересно... спасибо попробуем интересно... -
Проблема с FTDI2232h в ноутбуках
vladimir_orl replied to vladimir_orl's topic in Интерфейсы
чип ft2232h уже внутри нашего устройства установлен -
Проблема с FTDI2232h в ноутбуках
vladimir_orl posted a topic in Интерфейсы
Добрый день. Уже давно используем чип ft2232h Недавно пошли ноутбуки с новой windows10 (вроде как майское обновление 2020) При чтении данных через ft2232h появилась большая задержка чтения. Последний актуальный драйвер FTDI - от 2017 года. Может кто сталкивался с такими проблемами? Причём на настольных ПК всё более-менее работает Проблема больше в ноутбуках. Может там контроллеры USB совсем дешёвые? -
ПЛИС Gowin Semiconductor
vladimir_orl replied to StewartLittle's topic in Работаем с ПЛИС, области применения, выбор
Что, такие лёгкие в освоении? И по корпусам тоже... Коллега сейчас смотрел, говорит шаг выводов 0.4 мм. Очень уж плотно... -
ПЛИС Gowin Semiconductor
vladimir_orl replied to StewartLittle's topic in Работаем с ПЛИС, области применения, выбор
Большое спасибо. Что насчёт обработки видео? Нужно веб-камеру кастомную сделать. Сенсор скорее всего сони имх274. ип-ядра свои? бесплатные? -
ПЛИС Gowin Semiconductor
vladimir_orl replied to StewartLittle's topic in Работаем с ПЛИС, области применения, выбор
Насколько совместимо с алтера/интел? Полный копипаст? Или есть свои особенности? -
OV5640 зелёное пятно в центре. Проблема с CRA ?
vladimir_orl replied to vladimir_orl's topic in Оптика и оптоэлектроника
Может кто подскажет. Параметр CRA оптической системы должен быть не больше чем сенсора CRA ? Или же они должны точно совпадать? Никому не доводилось подобные вещи использовать? -
OV5640 зелёное пятно в центре. Проблема с CRA ?
vladimir_orl replied to vladimir_orl's topic in Оптика и оптоэлектроника
Большое спасибо. Ещё бы знать, как с ними связаться... Запросы через их сайт, похоже, в игнор лист идут... Можно ещё связаться с локальным FAE. Но кто это, где его искать? -
OV5640 зелёное пятно в центре. Проблема с CRA ?
vladimir_orl replied to vladimir_orl's topic in Оптика и оптоэлектроника
Да, скорее всего так и есть... Оптическая система уже сделана, переделывать вряд ли уже будут... Идёт потоковое видео, вплоть до 5 МП * 15 кадров в секунду... на лету исправлять наверное сложно будет... И производитель - Omni Vision - не отвечает... Может всё-таки есть настройки в регистрах... -
OV5640 зелёное пятно в центре. Проблема с CRA ?
vladimir_orl replied to vladimir_orl's topic in Оптика и оптоэлектроника
А не знаете, нельзя ли в настройках сенсора задать исправление для этого бага? Знаю, что там есть Lens Correction регистры. Но это наверное для выправления изменения освещённости... -
Здравствуйте. Занимаемся разработкой оптоэлектронного изделия с применением сенсора OV5640. В процессе совмещения сенсора с нашей оптической системой видим на изображении отчётливое зелёное пятно. Имеется предположение, что это из-за несогласованности углов CRA сенсора (24 градуса) и оптической системы (7 градусов). Подскажите, может кто сталкивался с подобными дефектами?
-
Проблема на линии Mipi Clock
vladimir_orl replied to vladimir_orl's topic in Интерфейсы
Большое спасибо. Вновь возвращаюсь к данной теме. После некоторого поиска думаем, что проблема в уровне напряжения питания модуля MIPI у чипа Cypress CX3. То есть, при уровне питания 3.3 В всё работает, а при 1.8 В блок перестаёт работать. Проверить пока не можем... В даташитах подтверждения или опровержения не нашли... Может быть, кому доводилось на других чипах с поддержкой MIPI с таким явлением сталкиваться? -
Проблема на линии Mipi Clock
vladimir_orl posted a topic in Интерфейсы
Здравствуйте. Подключаем оптический сенсор OV5640 по линиям MIPI. На линиях данных всё хорошо, есть и высокочастотная и низкочастотная составляющие. На линии CLOCK LANE присутствует только LOW SPEED составляющая сигнала, HI SPEED часть отсутствует. Подскажите, в чём может быть дело? -
Как подключить MIPI CSI-2 камеру?
vladimir_orl replied to tmtlib's topic in Цифровые схемы, высокоскоростные ЦС
есть хорошая связка cypress cx3 + ov5640 и документации в инете много -
OV5640 register stttings
vladimir_orl replied to let's see's topic in ARM
На гугле много информации по OV5640. Даже есть "левые" даташиты. Но это не хорошо. Когда подключили сенсор, выяснили также одну вещь. В параллельном режиме запускается хорошо. А в последовательной - просто никак. И уже официальный код попросили. Не хочет выдавать сигнал по МИПИ, и всё тут. Никто не сталкивался? -
Работа с STK500 и STK501
vladimir_orl replied to fpmoleg's topic in AVR
В STK500 при работе с дополнительным RS232 надо его выводы проводами подключить к нужным пинам микроконтроллера. В мануале это написано. -
Здравствуйте. Пишу софт для кастомной видеокамеры (используя USV Video Class - UVC) В процессе работы ей необходимо посылать сообщение хосту о том что "сейчас требуется сделать снимок". Сообщение посылается по нажатию кнопки, которая на камере расположена. Посмотрел описание UVC, там написано, что это всё делается через GET_INFO. Если кто знает, какие соображения есть? Подскажите что где внятное почитать можно? Надо ли создавать канал типа INTERRUPT ?
-
Позвольте продолжить тему. Сейчас только начинаю осваивать и верилог и подключение таких дисплеев. OSD057VA01CT_V0.4__With_Touch_.pdf Имею плату DE2-115 от альтеры (точнее терасик). Пишу на верилоге. Имею дисплей OSD057VA (даташит во вложении) Подскажите, сигналы vsync и hsync когда в 1, а когда в 0 ? И то же самое про сигнал DE. Как я понял, лучше перевести дисплей в режим sync ( не de-оnly). Правильно? Код модуля на верилоге: module osdDisplaySimple (input clkPin, input adjVal, output reg pixPin, output reg HYNC_PIN, output reg VSYNC_PIN, output reg dePin, output reg ledAdjPin, output refOutPin); integer pixCnt; integer lineCnt; integer frameCnt; integer ledAdjCnt; integer deLogic; assign refOutPin = clkPin; initial begin ledAdjCnt = 0; pixCnt = 0; lineCnt = 0; frameCnt = 0; HYNC_PIN = 0; VSYNC_PIN = 0; dePin = 0; ledAdjPin = 0; end always @(posedge clkPin) begin ledAdjCnt = ledAdjCnt + 1; if(ledAdjCnt > 1250) begin ledAdjCnt = 0; ledAdjPin = ~ledAdjPin; end pixPin = ~pixPin; // Pixels block pixCnt = pixCnt + 1; if(pixCnt < 640*2) HYNC_PIN = 1; else if(pixCnt < 800*2) HYNC_PIN = 0; else begin HYNC_PIN = 0; pixCnt = 0; lineCnt = lineCnt + 1; if (lineCnt < 480) VSYNC_PIN = 1; else if(lineCnt < 525) VSYNC_PIN = 0; else begin VSYNC_PIN = 0; lineCnt = 0; end end dePin = HYNC_PIN & VSYNC_PIN; end endmodule топ-модуль: module top( input wire [17:0] SW, input wire [3:0] KEY, input wire OSC_50, output wire [7:0] LED_GREEN, output wire [6:0] HEX0, output wire [6:0] HEX1, output wire [6:0] HEX2, output wire [6:0] HEX3, output wire [35:0] GPIO, output wire UART_TXD, output wire [17:0] LED_RED ); integer samples[1024]; integer sampCnt1; integer sampCnt2; integer cnt; integer cntClk; integer trig; integer unitCnt; //module osdDisplaySimple (clkPin, adjVal, pixPin, HYNC_PIN, VSYNC_PIN, dePin, ledAdjPin, refOutPin); osdDisplaySimple Simple (OSC_50, SW[3], GPIO[33], GPIO[1],GPIO[2], GPIO[3], GPIO[4], GPIO[11]); // RED color assign GPIO[32] = SW[0]; // GREEN color assign GPIO[6] = SW[1]; // BLUE color assign GPIO[5] = SW[2]; // DMS assign GPIO[0] = SW[4]; endmodule всё равно не работает.... В какой полярности д.б. сигналы, подскажите пожалуйста.
-
Cypress FX3 + GPIF II
vladimir_orl posted a topic in Все остальные микроконтроллеры
Здравствуйте. Делаю попытки разобраться с дизайнером GPIF II от Cypress для чипа FX3 Кто-нибудь делал подобное? Так и не понял, как подсоединять к автомату состояний регистры, как добавлять полученный файл в проект. Подскажите, если кто знает... Для начала хотя бы меандр средствами гпифа научиться выводить... -
Делитель на пинах прерывания?
vladimir_orl replied to Oleg_IT's topic in AVR
поднимаю старую ему... сейчас работаю с прерываниями PCINT на tiny461. смотрю в протеусе. ставлю маску, не ставлю, прерывания идут со всех ног... наверное глюк протеуса. самой железки пока нет...