Перейти к содержанию
    

тема для Aprox и любителей AHDL

Пока все ответы Aprox идут в русле 'Пастернака не читал, но осуждаю'
Умный учится на чужих ошибках. А я умный.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

По моему спор пошел по второму кругу, всё это уже говорилось в прошлых темах Aprox, помелянись аппоненты.

Тему пора закрывать, IMHO.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

По моему спор пошел по второму кругу, всё это уже говорилось в прошлых темах Aprox, помелянись аппоненты.

Тему пора закрывать, IMHO.

 

Согласен.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

По моему спор пошел по второму кругу, всё это уже говорилось в прошлых темах Aprox, помелянись аппоненты.

Тему пора закрывать, IMHO.

Согласен.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Не холивара ради, а пользы дела для, апаю тему. В атаче реализация синтезируемого БЧХ кодера/декодера на SV, все структуры арифметических модулей генерируются на лету(ну не совсем все, как приделаю автоматический расчет генераторного полинома, тогда будут все :)). В пакете идеалки, использованные для отладки алгоритма, их RTL аналоги, разной степени оптимизации и Constrained Random Testbench для тестирования сборки кодера/декодера. Сорцы выложены для ознакомления и может быть кому нить послужат примером для изучения %)

 

UPD.Все функции/таблицы были скопированы копипастом с примера взятого отсюда и подрихтованы под верилог. Хочу обратить внимание тех, кто не знаком с SV, на то, как RTL код получен из поведенческого. Преемственность на лицо %)

bch.zip

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

а пользы дела для, апаю тему. В атаче реализация синтезируемого БЧХ кодера/декодера на SV

апните отдельным постом с соотв.названием, плз, а то потеряется

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

я так понимаю, тема 8b10b тут постепенно утухает. хотел спросить, чем не нравится:

-- Project : 8000 - 8b10b_encdec -- Author : Ken Boyette

места, кодер вроде как меньше занимает(; Total logic elements ; 37 / 5,136 ( < 1 % ) ; Total combinational functions ; 35 / 5,136 ( < 1 %); Dedicated logic registers: 19 / 5,136 ( < 1 % )), макс частота на цыклоне 3(speed gr = 8) =160 МГц

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

я так понимаю, тема 8b10b тут постепенно утухает. хотел спросить, чем не нравится:

потому что тема, не об этом.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

тема нет. но самый первый пост смотрели?

кхм, если учесть что ТС это я, то тема

Тема выделена в отдельную ветку отсюда . Напомню суть вопроса: уважаемый Aprox необоснованно утверждает что AHDL заткнет за пояс VHDL/V/SV вместе взятые. Для рассмотрения сего вопроса поступило предложение сравнить модули, с одинаковой функциональностью написанные на разных языках. Критерии сравнения :

читаемость/краткость/параметризуемость/результат синтеза

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

... кхм, если учесть что ТС это я ...

ТС я видел. а ответа на мой вопрос, к сожалению, не было...

чем лучше/хуже Вашего, можете прокомментировать???

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

... а еще если принять к сведению, что в Квартусе имеется готовая мегафункция 8B10B ...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

... а еще если принять к сведению, что в Квартусе имеется готовая мегафункция 8B10B ...

она есть толста =) в 1,5 раза, правда чуть быстрее(смотрел в ква 9). да и как, к примеру, его всунуть в макс 5? никак)

Изменено пользователем stu

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

ТС я видел. а ответа на мой вопрос, к сожалению, не было...

чем лучше/хуже Вашего, можете прокомментировать???

как бы и сорцов от вас тоже не было. вы просто написали параметры чьей то корки. И еще раз, для непонятливых. Я не ставил цели добиться минимума ресурсов, максимум производительности. Цель была демонстрация просты и понятности описания на языке SV с демонстрацией результатов синтеза, как я могу судить не самых плохих. Лучше/хуже это субъективная оценка, каждый решает сам, с высоты своего опыта и понимания.

... а еще если принять к сведению, что в Квартусе имеется готовая мегафункция 8B10B ...

на флуд подбиваете? лучше бы выдали какой нить код по теме, что бы люди сравнили описание на разных языках по озвученным критериям оценки качества. Вот это было бы дело %)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

на флуд подбиваете? лучше бы выдали какой нить код по теме, что бы люди сравнили описание на разных языках по озвученным критериям оценки качества. Вот это было бы дело %)

По теме я высказался в сообщении №120, из чего вытекает, что выдать что-то в AHDL для меня будет противоестественным. У меня есть несколько простеньких и интересных функций на AHDL, но я еще не делал аналогичного на SV. Если будет что рассказать, когда сделаю, доложу.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...