Перейти к содержанию
    

Это точно не шутка? Ария 10 это 20 нм хайэнд, ПЛИС от 160 тысяч LE со встроенным процессором, память DDR4. Догнать не так просто, иначе бы даже Эфиопия (да простят меня) клепала ПЛИС на TSMC ;)

 

Понимая что порой без ПЛИС обойтись практически невозможно, не пробовали перейти на отечественные DSP? Или может из кучки специализированных микросхем наковырять задуманное?

 

Оффтоп:

Но хочется мне верить, глядя что по сути в ПЛИС меняется не так уж много год от года, что РФ догонит рано или поздно. Я вообще верю, что рано или поздно, Китай будет делать топовые ПЛИС, а там может Индия и Бразилия подтянутся...

Это и есть замена специализированных ИМС импортного производства типа PMC и Broadcom. Но требуют дальше импортозамещать.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

извиняюсь за оффтоп.

пока Микрон и Ангстрем не организуют у себя нормальный шаттл а-ля MOSIS с прозрачными условиями участия, на российских фабах всё будет по-прежнему тухло.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Имеются две платы на 5576ХС1Т + 5576РС1У + 1158ЕН3,3ВХ (на одной есть ещё м/с, на второй только эти + ёмкости питания десяток керамики). MSEL0=MSEL1=GND. При плавной подаче питания наблюдается скачок тока потребления до 600 мА в течении нескольких мс.

post-65930-1500284573_thumb.png

Рисунок plis_12.png: жёлтый и зеленый это 5 В питания до и после токоизмерительного шунта 0,33 Ом, синий канал +3,3В после 1158ЕН3,3ВХ, розовый (математика) это ток (300 мА в клетку).

После при не запрограммированной ПЛИС ток падает до 10 мА в течении нескольких секунд! Запрограммированная жрёт 300 мА без тактирования. Стоит отметить, что больших емкостей в схеме нет - десятки мкф керамика.

На plis_12.png ПЛИС включилась. nCONFIG удерживается 5,5 мс в низком состоянии, после начинается загрузка ПЛИС.

При более резкой подаче питания ПЛИС не включается - картинка plis_26.png

post-65930-1500284636_thumb.png

(тут верт. масштаб тока 200 мА/дел) -- как видно источник питания PSP-405 переходит в режим источника тока с ограничением 600 мА.

При этом и большая уставка ограничения тока не приводит к включению ПЛИС -- пример plis_34.png -- ток на ПЛИС 1,2 А.

post-65930-1500284657_thumb.png

 

Встречались ли с такой проблемой, как решили?

как я понимаю такая проблема встречается и у 5576ХС2Т -- http://www.microchip.su/showpost.php?p=160...mp;postcount=17

Кстати имеется возможность установить в эту же схему 5576ХС2Т, но смысла не видно.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Встречались ли с такой проблемой, как решили?

Не помню, что именно за плисина была, но на двух экземплярах отладочной платы была проблема - прошивка не заливалась. После заливки первой прошивки в ПЗУ плата заработала в штатном режиме и проблема ушла. Дальше уже была наша плата и данной проблемы не было.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

скачок тока потребления до 600 мА в течении нескольких мс.

Проблема появилась недавно. Какие-то изменения в технологии на фабрике, похоже... На заводе решают эту проблему. Пока можно использовать костыль - конденсаторы + компаратор, который будет запитывать плису только при заряде конденсаторов на 3В...Но костыль...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Проблема появилась недавно. Какие-то изменения в технологии на фабрике, похоже... На заводе решают эту проблему. Пока можно использовать костыль - конденсаторы + компаратор, который будет запитывать плису только при заряде конденсаторов на 3В...Но костыль...

Прошу прояснить суть критики по этой ссылке: http://forum.milandr.ru/viewtopic.php?p=20984#p20984

Человек утверждает, что на новых ПЛИС (те что претендуют быть аналогом EP2C8), софт не позволяет развести что-либо сложнее счетчика, и что софт недоставаем. Ситуация изменится для новых поколений устройств?

Иными словами, утверждается, что софт просто не разрабатывается и не совершенствуется, и не будет далее. Я сомневаюсь в такой инфе.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Прошу прояснить суть критики по этой ссылке: http://forum.milandr.ru/viewtopic.php?p=20984#p20984

Человек утверждает, что на новых ПЛИС (те что претендуют быть аналогом EP2C8), софт не позволяет развести что-либо сложнее счетчика, и что софт недоставаем. Ситуация изменится для новых поколений устройств?

Иными словами, утверждается, что софт просто не разрабатывается и не совершенствуется, и не будет далее. Я сомневаюсь в такой инфе.

На самом деле софт для ТС024 получился не очень удачный. Там на стороне квартуса только синтез, дальше работа с нетлистом воронежским сапром проводится. Он туговат, но работоспособен. Около 90% из QUIP Toolkit под EP2C8 успешно работает в ТС024, причём зачастую даже расходуя меньше лог ресурсов. Основная причина разработки своего САПРа была в том, что архитектура ТС024 отличается от Циклона и использует АЛМ вместо простых ЛЭ.

В новых аналогах решили отказаться от таких различий в архитектуре, поэтому такого САПРа не будет. Будет что-то типа конвертора уже самой прошивки.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я не утвеждал, что он недоставаем. Но его покупка это крайне муторное занятие, у нас ушло на это более полугода, и это были задержки не с нашей стороны.

Совершенно не понятно как будет решена проблема временного анализа в этом конверторе прошивке. Я не верю, что новые микросхемы совпадут по времянке со своим функциональным аналогом.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я не утвеждал, что он недоставаем. Но его покупка это крайне муторное занятие, у нас ушло на это более полугода, и это были задержки не с нашей стороны.

Совершенно не понятно как будет решена проблема временного анализа в этом конверторе прошивке. Я не верю, что новые микросхемы совпадут по времянке со своим функциональным аналогом.

Да, прошу прощения за неточность. Я так понимаю, Вы действовали от фирмы. Для простого же пользователя софт будет именно что "практически недоступным", либо по цене, либо по сложности процедуры получения, либо вообще бюрократический полный запрет.

 

Проблема времянок тоже волнительна. У меня есть ничем не подкрепленное предположение, учитывая как разводят линии в BGA корпусах от кристалла на шарики, то есть вероятность, что умные инженеры учтут и сделаю как минимум одинаковые длины этих линий в аналоге как и в оригинале, хотя при всей скудости моих познаний, надеюсь что и емкости, если они тут критичны, будут тоже примерно аналогичны.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

prostoRoman

это Latchup в действии. Отчетливо видно на вашей картинке №1.

Очевидно, в воронеже либо не знают о существовании JESD78D и соответствующих методов тестирования, либо экономят на спичках. Ибо абсолютно детская ошибка. Для исправлния, в зависимости от запущенности, им придется либо колдовать с топологией GPIO, либо вообще подстраивать техпроцесс.

 

для предотвращения вам придется проконтролировать, чтобы на любом из выводов напряжение не превышало 0,3...0,4 вольта относительно VccInt до момента выхода VccInt в номинал.

Т.е. если у вас до момента вывода VccInt в номинал на выводах есть сигналы, превышающие VccInt, - будет весьма вероятен Latchup.

Это всё нужно для предотвращения открытия паразитных диодов с GPIO на VccInt, и как следствие подачи достаточного смещения для открытия паразитных тиристоров с VccInt на GND.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Проблема появилась недавно. Какие-то изменения в технологии на фабрике, похоже... На заводе решают эту проблему. Пока можно использовать костыль - конденсаторы + компаратор, который будет запитывать плису только при заряде конденсаторов на 3В...Но костыль...

 

Обращаю внимание на две последние картинки в моём сообщении. Из них следует, что при резкой подаче питания (тумблером) ПЛИС не включается (жрёт ток), при более плавном нарастании напряжения питания -- включается, хоть и с импульсным потреблением тока (тут всё бы ничего, но уж очень он превышает статический Iccs=10 мА в ТУ).

 

 

Так что здесь скорее напрашивается индуктивность до стабилизатора на 3,3В....

 

 

 

prostoRoman

это Latchup в действии. Отчетливо видно на вашей картинке №1.

Очевидно, в воронеже либо не знают о существовании JESD78D и соответствующих методов тестирования, либо экономят на спичках. Ибо абсолютно детская ошибка. Для исправлния, в зависимости от запущенности, им придется либо колдовать с топологией GPIO, либо вообще подстраивать техпроцесс.

 

для предотвращения вам придется проконтролировать, чтобы на любом из выводов напряжение не превышало 0,3...0,4 вольта относительно VccInt до момента выхода VccInt в номинал.

Т.е. если у вас до момента вывода VccInt в номинал на выводах есть сигналы, превышающие VccInt, - будет весьма вероятен Latchup.

Это всё нужно для предотвращения открытия паразитных диодов с GPIO на VccInt, и как следствие подачи достаточного смещения для открытия паразитных тиристоров с VccInt на GND.

Благодарю за объяснение поведения.

 

 

Суть примерно понял, есть нюанс: у м/с есть выводы, которые должны быть подтянуты к питанию (TRST, TEST1, nSTAT, nCONFIG) в т.ч. в момент включения - как быть с ними?

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да, прошу прощения за неточность. Я так понимаю, Вы действовали от фирмы. Для простого же пользователя софт будет именно что "практически недоступным", либо по цене, либо по сложности процедуры получения, либо вообще бюрократический полный запрет.

Нужно письмо от военных и подписание NDA.

 

Проблема времянок тоже волнительна. У меня есть ничем не подкрепленное предположение, учитывая как разводят линии в BGA корпусах от кристалла на шарики, то есть вероятность, что умные инженеры учтут и сделаю как минимум одинаковые длины этих линий в аналоге как и в оригинале, хотя при всей скудости моих познаний, надеюсь что и емкости, если они тут критичны, будут тоже примерно аналогичны.

При чем тут разварка кристалла? Проблема во времянке самого кристалла.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

для предотвращения вам придется проконтролировать, чтобы на любом из выводов напряжение не превышало 0,3...0,4 вольта относительно VccInt до момента выхода VccInt в номинал.

 

krux

 

UPD: Извиняюсь, не доглядел: У меня выполняется условие Vio <= Vcc (грубо говоря, на плате лишь один источник напряжения - это Vcc, соответственно ни одна "подтяжка" не формирует Vio > Vcc)

 

 

 

 

 

Изменено пользователем prostoRoman

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

UPD: Извиняюсь, не доглядел: У меня выполняется условие Vio <= Vcc (грубо говоря, на плате лишь один источник напряжения - это Vcc, соответственно ни одна "подтяжка" не формирует Vio > Vcc)

..разве у этой воронежской ПЛИС только одно питание? Т.е. питание ядра такое же как питание внешних банков?

 

............................. .

 

Да, у этой микрухи одно питание - 3.3 вольта.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...