Перейти к содержанию
    

Обработка нажатия кнопок

В схеме по-прежнему несколько тактовых сигналов, а должен быть один 100 Гц. И стандартное решение — это цифровой автомат (FSM).

 

Например, создать для каждой кнопки 2-разрядный сдвиговый регистр подавления дребезга и 2-разрядный сдвиговый регистр автомата ловли её нажатия.

 

Соответственно, в сумме получаются 4 бита, описывающих из 16 состояний лишь два, меняющих счётчик.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Например, создать для каждой кнопки 2-разрядный сдвиговый регистр подавления дребезга и 2-разрядный сдвиговый регистр автомата ловли её нажатия.

Простите, но как 2 разрядный регистр избавит от дребезга ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Не, чего-то и где-то я не допонимаю. :(

 

process(OnBtnLeft_DownEvent,OnBtnRight_DownEvent) -- изменение длительности импульса

begin

if rising_edge(OnBtnLeft_DownEvent) then

Ситуация примерно такая. Садитесь в "готовый" автомобиль. Нажимаете педали, крутите руль - едете. Структура автомобиля фиксированная, а Вы определяете "действия". Вот так работает микроконтроллер.

А в ПЛИС - другая ситуация. Вот куча, в ней лежат колеса, руль, мотор и другие железяки. Так вот в ПЛИС дело выглядит так: надо взять одну железку, к ней привинтить другую, потом мотор, потом колеса, потом руль. А уж куда поедет - так это как руль и мотор привинтили. Т.е. структуру Вы определяете сами. Восемь колес накрутите, получите БТР. Руль в одну сторону заклините, получите авто для гонок по кругу...

Поэтому перестаньте мыслить Сишными функциями, как например OnBtnLeft_DownEvent... В ПЛИС это никак не пойдет. Тут как в авто, есть мотор, вот от его вала и шестерни и ремень на вентилятор, и чего-то на распределитель...

Так что "старые мозги" - выкинуть, "новые" - вставить... Трудно, но кто говорил, что будет легко. А еще эти кривые скобки вместо которых "begin-end". .. :laughing:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

как 2 разрядный регистр избавит от дребезга ?

Результат 3-входовой мажоритарной функции 2-х бит этого регистра и первого бита регистра автомата задвигается в регистр автомата, т.е. подавляется 1-тактный дребезг.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Результат 3-входовой мажоритарной функции 2-х бит этого регистра и первого бита регистра автомата задвигается в регистр автомата, т.е. подавляется 1-тактный дребезг.

К примеру при частоте 100 Мгц - это дребезг в 10 нс. А кнопки могут дребезжать вплоть до миллисекунд....

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Спасибо всем за ответы!

Все говорят и советуют FSM, например . . .

В схеме по-прежнему несколько тактовых сигналов, а должен быть один 100 Гц. И стандартное решение — это цифровой автомат (FSM).

 

Например, создать для каждой кнопки 2-разрядный сдвиговый регистр подавления дребезга и 2-разрядный сдвиговый регистр автомата ловли её нажатия.

 

Соответственно, в сумме получаются 4 бита, описывающих из 16 состояний лишь два, меняющих счётчик.

Так вроде нечто подобное и есть, по тактовой частоте 100 Гц я определяю нажатость. Контролирую ее два раза. Т.е. антидребезг на 10 мс. См.пост #5

А далее уже как раз событие нажатия ловлю.

 

Вопрос был еще немного в другом, как по нажатию разных кнопок менять значение одной и той же переменной?

 

Может правильнее будет изменение PulsePerPeriod_VAR засунуть вообще внутрь процесса антидребезга? Или я тут уже перегибаю?

 ButtonsPolling:
  process(Clock_100Hz)
  begin
    if rising_edge(Clock_100Hz) then
        if Btn_Left = '1' then
            Key_01 := '1';
            if Key_01 = Key_02 then
                OnBtnLeft_DownEvent <= '1';
            end if;
        else
            OnBtnLeft_DownEvent <= '0';
        end if;
        if Btn_Right = '1' then
            Key_01 := '1';
            if Key_01 = Key_02 then
                OnBtnRight_DownEvent <= '1';
            end if;
        else
            OnBtnRight_DownEvent <= '0';
        end if;
    Key_02 := Key_01;
  end process ButtonsPolling;

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Во-первых я бы не стал смешивать логику модуля антидребезга, с другой логикой. Задача антидребезга(как оно понятно из названия) - это просто принять сигнал от кнопки, убрать дребезг и отдать этот сигнал дальше. В вашем случае модулю, который обрабатывает нажатия, и в зависимости от нажатых кнопок что-то делает.

 

Например есть 2 сигнала с кнопки button0_in, и button1_in. Вы эти сигналы подаете на модули антедребезза и получаете нормальные стабильные сигналы. Пусть будут названы

button0_deb и button1_deb. Затем вы эти сигналы (button0_deb и button1_deb) передаете модулю-обработчику(который может быть FSM или не быть) и обрабатываете как хотите в зависимости от алгоритма обработки.

Фактически у вас есть 4 комбинации этих сигналов:

"00" т.е button1_deb = '0' button0_deb '0'

"01" т.е button1_deb = '0' button0_deb '1'

"10" т.е button1_deb = '1' button0_deb '0'

"11" т.е button1_deb = '1' button0_deb '1'

 

Вот пример одного из вариантов огрызка модуля обрабатывающего нажатия, достаточного для понимания как можно в зависимости от нажатия кнопок что-то делать:

entity module_name is
    port 
    (
        ....
        ....
        clk            : in  std_logic;
        button0_deb    : in  std_logic;
        button1_deb    : in  std_logic;
        ....                    ;
        ....
    );
end entity;

architecture RTL of module_name is 
    ....
    ....
    signal selector    : std_logic_vector(1 downto 0);  -- Промежуточный сигнал для обьединения button0_deb и button1_deb
    ....
    ....
begin
    selector <= button1_deb & button0_deb;
    
    process_name : process(clk)
    begin
        if (rising_edge(clk)) then
            case selector is                       -- Анализируем состояние кнопок
                when "00"   => -- что-то делаем;
                when "01"   => -- что-то делаем;
                when "10"   => -- что-то делаем;
                when "11"   => -- что-то делаем;
                when others => null;
            end case
        end if;
    end process;
end architecture;

 

Ну либо обрабатывать нажатия кнопок автоматом. Тут все зависит от алгоритма обработки.

Если интересно, как я реализую антидребезг, то можете посмотреть его там-же где я выкладывал детектор фронта. Но любое применение этих файлов - это на ваш страх и риск :biggrin:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

вроде нечто подобное и есть

Я извиняюсь, ранее не стал вглядываться, но сейчас у Вас мало того, что одна переменная на две кнопки, так она ещё и ничего не давит, потому как всегда в "1".

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

создать для каждой кнопки 2-разрядный сдвиговый регистр подавления дребезга и 2-разрядный сдвиговый регистр автомата ловли её нажатия.

 

могли бы Вы пояснить то, что написали? ... в какую схему "превращается" код конструкции if - много где показано. А вот чуть более сложные вариации - уже не найти

"Да наоборот же! ©" Сперва надо составить требуемую схему, на бумаге или в голове, а затем выбирать из десятков вариантов возможностей языка, как заставить компилятор родить её.

 

Сдвиговый регистр (shift register) — это в пределе просто оператор присваивания под тактом, двухбитный регистр — два таких оператора последовательно. Также, первый триггер из этой цепочки, принимая асинхронный сигнал с кнопки, делает его однозначным для всей последующей тактируемой схемы, т.е. играет важную роль пресловутого избавления от метастабильности.

 

В результате, сигнал с кнопки с каждым тактом начинает ползти по регистру, который таким образом становится эдакой машиной времени, позволяющей создать пороговую схему, перекидывающую выходной сигнал только когда кнопка перестала дребезжать, т.е. при достижении ею устойчивого состояния, т.е. когда её предыдущее и текущее состояние стали одинаковыми, а этот сдвиговый регистр, соответственно, в "00" или "11".

 

Здесь логично достать третий триггер, который будет хранить подлинное состояние кнопки, т.е. с подавленным дребезгом, и четвёртый, образующий с ним ещё одну машину времени, ещё один сдвиговый регистр, по которому ползёт уже очищенное от дребезга значение кнопки.

 

Итого, в наличии есть два бита регистра давилки дребезга и ещё один бит с выхода третьего триггера. Мажоритарная функция (majority function) от этих трёх бит повторяет выход третьего триггера, пока кнопка дребезжит и меняет его значение на установившееся, когда она перестаёт дребезжать, т.е. остаётся просто присвоить третьему триггеру значение данного логического выражения (созданного соответствующей комбинацией логических операторов), а четвёртому значение третьего.

 

Теперь можем ловить подлинно факты нажатия обеих кнопок, т.е. когда их "чистые" регистры в состоянии "01", но сперва требуется исключить запрещённую комбинацию, когда эти регистры оба в "01", при которой счётчик не должен меняться, т.е. просто условие в виде логической функции от этих четырёх бит, а в нём уже можно без оглядки двумя вложенными условиями проверять "чистые" регистры каждой из кнопок и менять счётчик.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

"Да наоборот же! ©" ............
Спасибо. Дельно.

 

Вот такой вопрос, чтобы понять нюанс, по сообщениям номер 15 и номер 23:

 

Какую частоту должны иметь сигналы clk?

 

В моем случае антидребезг с временем 10 мс. (используется частота 100 Гц)

А та частота о которой говорю, она же , как я понимаю должна быть <100 Гц (или <=100Гц). По крайней мере, вроде как она не должна быть больше.

Т.е. эта частота определяет с какой скоростью PulsePerPeriod_VAR будет увеличиваться/уменьшаться на 1.

Т.е. если clk = 1 Гц, то при нажатой кнопке UP один раз в секунду PulsePerPeriod_VAR будет увеличиваться на единицу. Правильные рассуждения?

Если да, то что всетаки может помешать сделать clk > 100 Гц?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Какую частоту должны иметь сигналы clk?

Давайте вспомним учебник.

У триггера есть входы:

сброс

данные

тактовый

разрешение счета...

 

И при любой тактовой триггер считает ТОЛЬКО тогда, когда есть сигнал разрешения. Дальше объяснять?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

при нажатой кнопке ... будет увеличиваться на единицу. Правильные рассуждения?

Неправильные. При нажатии кнопки счётчик один раз увеличится и на этом всё — можно дальше держать эту кнопку нажатой до опупения, ничего не произойдёт.

 

Если же Вам дополнительно требуется функция автоповтора — как у компьютерной клавиатуры, т.е. с программируемой паузой с момента удержания кнопки нажатой до начала автоповтора её функции и программируемой частотой автоповтора — то для этого к вышеприведённому описанию требуется добавить соответствующее описание соответствующего довеска к схеме.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Чтобы понять нюанс по сообщениям - надо понять в первую очередь какую мысль я до Вас пытаюсь донести.

А для этого надо сесть и нарисовать на бумажке структуру прохождения данных в проекте:

image.png

 

Инвертор уровня - нужен для того, чтобы всегда работать с активным уровнем сигнала- лог.1. Иначе легко допустить ошибку. Во всяком случае я предпочитаю работать по возможности с активным уровнем лог.1

 

Регистры-синхронизаторы - для синхронизации с клоковым доменом.

 

Антидребезг - удаление дребезга собственно :rolleyes: .

 

В моем случае у меня все это делает один модуль, который называется KAA_button_debounce, пример которого я привел на форуме: https://electronix.ru/forum/index.php?showt...5&start=195

 

С выхода антидребезга стабильный, синхронный сигнал подаете на автомат и что-то с ними делаете по Вашему алгоритму.

 

Забудьте про частоты 1 Гц, 100 Гц и прочее. Не плодите разные частоты без крайней необходимости, это лишено смысла.

Советую прочитать про тактовые домены, чтобы пришло понимание почему без особой необходимость не надо плодить разные частоты:

http://www.kit-e.ru/articles/circuit/2009_02_102.php

https://habr.com/post/254869/

 

Если есть возможность - обрабатывать на одной частоте. В приведенном примере я взял за основу системную частоту с тактового генератора, но можно на блоках PLL или DCM синтезировать нужную Вам. Смысл примера - то что все действия можно производить только на одной частоте.

P.S. К сожалению я лучше уж объяснить не смогу. Не умею...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Конкретизирую по повторам. Минимальный период ручного повтора, т.е. возникновения ключевого сигнала с двухбитового сдвигового регистра узла подавления дребезга, составляет 4 такта — это комбинация "0011" в случае идеальной недребезжащей кнопки — при нынешней частоте 100 Гц это соответственно 25 Гц, а минимальный период автоповтора составляет 1 такт, т.е. 100 Гц.

 

Насчёт тактовых частот вообще. Надо понимать, что ПЛИС — это набор однобитовых синхронных ячеек памяти, т.е. D-триггера с переключателем сигнала данных на входе.

 

Если сигнал управления этого переключателя в "0", триггер в данном такте перезапомнит своё текущее состояние, т.е. его состояние не изменится, а если в "1", то запомнит новое значение, созданное 3...N-входовой комбинационной логической схемой.

 

Конечно, можно подать на нужное для данного узла количество этих ячеек памяти какой-то отдельный тактовый сигнал, но в подавляющем большинстве из-за возникающих схемотехнических проблем так не делают, а тактуют все ячейки кристалла одной большой частотой, и меньшие частоты реализуют посредством делителей, манипулирующих вышеописанными сигналами переключателей данных (разрешения записи) этих ячеек.

 

В моём вышеизложенном примере сигналы разрешения ячеек памяти не используются, потому что они изменяются в каждом такте, поэтому я называл их просто триггерами. В реальной жизни затактовать ПЛИС можно, к примеру, частотой 100 МГц, тогда сигнал 100 Гц должен быть получен внутри этого такта соответствующим делителем, по сигналу которого будет работать вся последующая вышеописанная схема кнопок — вот тогда компилятор задействует сигналы разрешения этих 8-ми ячеек памяти и каждые 999999 тактов они будут оставаться неизменными.

Изменено пользователем Plain

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...