Перейти к содержанию
    

херня на постном масле.

вы внимательно посмотрите, переключитесь на вкладки Jobs и Trending этого spectrum.ieee.org, и поймите уже наконец, что реальной разницы нет. Разница есть только в компаниях, часть из которых полностью завязана на разработках на Verilog, а часть - на разработках на VHDL.

При этом я более чем уверен, что верификация RTL в больших и особо больших проектах ушла в SystemC, и ни к Verilog, ни к VHDL отношения уже не имеет. Просто потому что тренд такой.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

SystemC

что нажать в изе иде, чтобы переключить компилятор в вystemc для спартанца 3 или хотя бы 6 ? или хотя бы systemverilog ?

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Когда полностью контролируемая цифровая схемотехника получается без захода в HDL, мутные симуляторы и тестбенчи, сразу работает в железе, возникает вопрос, а зачем нужно это г-но мамонта, которое мешает заниматься непосредственно задачей.

Так только НИР сделать можно.

Купить 1000-й виртекс за 100500 килорублей, поставить его в 19" стойку и показать начальству.

 

А как дойдёт до зарабатывания денег......

сразу же найдутся конкуренты, которые таки умеют писать на говне мамонта

и умеют класть субоптимальные алгоритмы на конкретную архитектуру так, что сделают то же самое в спичечном коробке.

 

И тщу себя надеждой, что буду одним из них. Да собсно для некоторых уже давно и являюсь.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Так только НИР сделать можно.

Купить 1000-й виртекс за 100500 килорублей, поставить его в 19" стойку и показать начальству.

 

А как дойдёт до зарабатывания денег......

сразу же найдутся конкуренты, которые таки умеют писать на говне мамонта

и умеют класть субоптимальные алгоритмы на конкретную архитектуру так, что сделают то же самое в спичечном коробке.

 

Да всё то же самое делается, что и на говне мамонта, только без говна мамонта и в окружении приспособленном для решения задачи.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

При этом я более чем уверен, что верификация RTL в больших и особо больших проектах ушла в SystemC, и ни к Verilog, ни к VHDL отношения уже не имеет. Просто потому что тренд такой.

Тренд как раз - это SystemVerilog в связке с методологиями верификации (UVM, OVM и т.д.).

Чтобы быть в чем-то уверенным - надо сначала попробовать. SystemC не используют, как основной инструмент верификации RTL. Это инструмент имитационного моделирования цифровой аппаратуры. Эффективен при развитом рынке IP-ядер, когда вместе с этими самыми IP-ядрами поставляются модели на SystemC. Можно собрать имитационную модель, например, будущей Системы-на-Кристалле. Посмотреть хватает ли памяти, пропускной способности.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

 

Популярность Verilog и VHDL можно оценить по числу репохиториев на гитхабе:

 

hdl-github.png

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

И схемы современные есть. Когда полностью контролируемая цифровая схемотехника получается без захода в HDL, мутные симуляторы и тестбенчи, сразу работает в железе, возникает вопрос, а зачем нужно это г-но мамонта, которое мешает заниматься непосредственно задачей.

 

Голосую за человеческий синтаксис VHDL.

А вы пробовали хоть раз на VHDL загрузить из файла, например, тестовые воздействия или коэффициенты фильтра? И чтобы последнее еще и синтезируемое было. Вот уж где "человеческий" синтаксис!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А вы пробовали хоть раз на VHDL загрузить из файла, например, тестовые воздействия или коэффициенты фильтра? И чтобы последнее еще и синтезируемое было. Вот уж где "человеческий" синтаксис!

 

Любой HDL анахронизм для таких задач, сейчас я отлаживаю всё это в матлабе не редактируя HDL, без написания дурацких тестбенчей с подгрузкой из файлов и формирования этих файлов, сгенерированный HDL как промежуточный формат идёт напрямую в квартус, и всё сразу работает.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А вы пробовали хоть раз на VHDL загрузить из файла, например, тестовые воздействия или коэффициенты фильтра? И чтобы последнее еще и синтезируемое было. Вот уж где "человеческий" синтаксис!

Делал, не раз.

Вы попробуйте на китайском поговорить/писать, тяжело?

1/6 человечества говорит же

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Любой HDL анахронизм для таких задач, сейчас я отлаживаю всё это в матлабе не редактируя HDL, без написания дурацких тестбенчей с подгрузкой из файлов и формирования этих файлов, сгенерированный HDL как промежуточный формат идёт напрямую в квартус, и всё сразу работает.

Вы работаете с m фаилами Матлаба или в Симулинке?

 

Делал, не раз.

Вы попробуйте на китайском поговорить/писать, тяжело?

1/6 человечества говорит же

Я тоже делал, и тоже не раз. В VHDL для этого надо писать громоздкую неудобную функцию. В Verilog это делается одной строчкой. "Зачем есть капусту, когда можно есть картошку?"(с)

 

По поводу китайского - это их родной язык. В случае с VHDL/Verilog ни о каком "родном" происхождении речи нет. По хорошему, надо знать оба. Я начинал с VHDL, не так давно начал познавать дзен с Verilog и буду постепенно переходить на него.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я тоже делал, и тоже не раз. В VHDL для этого надо писать громоздкую неудобную функцию. В Verilog это делается одной строчкой. "Зачем есть капусту, когда можно есть картошку?"(с)
Ну и как часто вам надо что-то загружать из файла? По сто раз на дню, что ли?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Симулинк.

И он умеет, например, блоки matlab function преобразовывать в HDL?

 

Ну и как часто вам надо что-то загружать из файла? По сто раз на дню, что ли?

Конечно нет, но пришлось потратить время, чтобы разобраться и написать. В случае с Верилогом у меня это заняло не больше 2 минут - загуглить и скопировать одну строчку.

Изменено пользователем el.d

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...